000005086312-2510-KDecember 25, 20212021FYfalsefalse4,072226.80.0010.0015050——0.0010.00110,00010,0004,0704,0624,0704,0621.39001.321.26sixfoursix9156383319.07.02.09535,9896,9421.91.06001502676900.351.703.302.353.104.002.704.102.882.703.403.702.603.753.151.602.453.902.004.004.602.804.804.254.904.104.104.103.733.254.753.053.104.953.202.702.405.005.00no———P3Y00000508632020-12-272021-12-2500000508632021-06-25iso4217:USD00000508632022-01-21xbrli:shares00000508632019-12-292020-12-2600000508632018-12-302019-12-28iso4217:USDxbrli:shares00000508632021-12-2500000508632020-12-2600000508632019-12-2800000508632018-12-290000050863us-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2018-12-290000050863us-gaap:AccumulatedOtherComprehensiveIncomeMember2018-12-290000050863us-gaap:RetainedEarningsMember2018-12-290000050863us-gaap:RetainedEarningsMember2018-12-302019-12-280000050863us-gaap:AccumulatedOtherComprehensiveIncomeMember2018-12-302019-12-280000050863us-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2018-12-302019-12-280000050863us-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2019-12-280000050863us-gaap:AccumulatedOtherComprehensiveIncomeMember2019-12-280000050863us-gaap:RetainedEarningsMember2019-12-280000050863us-gaap:RetainedEarningsMember2019-12-292020-12-260000050863us-gaap:AccumulatedOtherComprehensiveIncomeMember2019-12-292020-12-260000050863us-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2019-12-292020-12-260000050863us-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2020-12-260000050863us-gaap:AccumulatedOtherComprehensiveIncomeMember2020-12-260000050863us-gaap:RetainedEarningsMember2020-12-260000050863us-gaap:RetainedEarningsMembersrt:CumulativeEffectPeriodOfAdoptionAdjustmentMember2020-12-260000050863srt:CumulativeEffectPeriodOfAdoptionAdjustmentMember2020-12-260000050863srt:CumulativeEffectPeriodOfAdoptionAdjustedBalanceMemberus-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2020-12-260000050863srt:CumulativeEffectPeriodOfAdoptionAdjustedBalanceMemberus-gaap:AccumulatedOtherComprehensiveIncomeMember2020-12-260000050863us-gaap:RetainedEarningsMembersrt:CumulativeEffectPeriodOfAdoptionAdjustedBalanceMember2020-12-260000050863srt:CumulativeEffectPeriodOfAdoptionAdjustedBalanceMember2020-12-260000050863us-gaap:RetainedEarningsMember2020-12-272021-12-250000050863us-gaap:AccumulatedOtherComprehensiveIncomeMember2020-12-272021-12-250000050863us-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2020-12-272021-12-250000050863us-gaap:CommonStockIncludingAdditionalPaidInCapitalMember2021-12-250000050863us-gaap:AccumulatedOtherComprehensiveIncomeMember2021-12-250000050863us-gaap:RetainedEarningsMember2021-12-250000050863srt:MaximumMember2020-12-272021-12-250000050863us-gaap:CreditConcentrationRiskMember2020-12-272021-12-250000050863us-gaap:AccountsReceivableMemberintc:ThreeLargestCustomersMemberus-gaap:CreditConcentrationRiskMember2020-12-272021-12-25xbrli:pure0000050863intc:PlatformMemberintc:ClientComputingGroupMember2020-12-272021-12-250000050863intc:PlatformMemberintc:ClientComputingGroupMember2019-12-292020-12-260000050863intc:PlatformMemberintc:ClientComputingGroupMember2018-12-302019-12-280000050863intc:OtherProductOrServiceMemberintc:ClientComputingGroupMember2020-12-272021-12-250000050863intc:OtherProductOrServiceMemberintc:ClientComputingGroupMember2019-12-292020-12-260000050863intc:OtherProductOrServiceMemberintc:ClientComputingGroupMember2018-12-302019-12-280000050863intc:ClientComputingGroupMember2020-12-272021-12-250000050863intc:ClientComputingGroupMember2019-12-292020-12-260000050863intc:ClientComputingGroupMember2018-12-302019-12-280000050863intc:DCGPlatformMember2020-12-272021-12-250000050863intc:DCGPlatformMember2019-12-292020-12-260000050863intc:DCGPlatformMember2018-12-302019-12-280000050863intc:OtherProductOrServiceMemberintc:DataCenterGroupMember2020-12-272021-12-250000050863intc:OtherProductOrServiceMemberintc:DataCenterGroupMember2019-12-292020-12-260000050863intc:OtherProductOrServiceMemberintc:DataCenterGroupMember2018-12-302019-12-280000050863intc:DataCenterGroupMember2020-12-272021-12-250000050863intc:DataCenterGroupMember2019-12-292020-12-260000050863intc:DataCenterGroupMember2018-12-302019-12-280000050863intc:InternetOfThingsGroupMember2020-12-272021-12-250000050863intc:InternetOfThingsGroupMember2019-12-292020-12-260000050863intc:InternetOfThingsGroupMember2018-12-302019-12-280000050863intc:MobileyeMember2020-12-272021-12-250000050863intc:MobileyeMember2019-12-292020-12-260000050863intc:MobileyeMember2018-12-302019-12-280000050863intc:InternetofThingsMember2020-12-272021-12-250000050863intc:InternetofThingsMember2019-12-292020-12-260000050863intc:InternetofThingsMember2018-12-302019-12-280000050863intc:NonVolatileMemorySolutionsGroupMember2020-12-272021-12-250000050863intc:NonVolatileMemorySolutionsGroupMember2019-12-292020-12-260000050863intc:NonVolatileMemorySolutionsGroupMember2018-12-302019-12-280000050863intc:ProgrammableSolutionsGroupMember2020-12-272021-12-250000050863intc:ProgrammableSolutionsGroupMember2019-12-292020-12-260000050863intc:ProgrammableSolutionsGroupMember2018-12-302019-12-280000050863us-gaap:AllOtherSegmentsMember2020-12-272021-12-250000050863us-gaap:AllOtherSegmentsMember2019-12-292020-12-260000050863us-gaap:AllOtherSegmentsMember2018-12-302019-12-280000050863intc:CCGNotebookPlatformMember2020-12-272021-12-250000050863intc:CCGNotebookPlatformMember2019-12-292020-12-260000050863intc:CCGNotebookPlatformMember2018-12-302019-12-280000050863intc:CCGDesktopPlatformMember2020-12-272021-12-250000050863intc:CCGDesktopPlatformMember2019-12-292020-12-260000050863intc:CCGDesktopPlatformMember2018-12-302019-12-280000050863intc:OtherPlatformMember2020-12-272021-12-250000050863intc:OtherPlatformMember2019-12-292020-12-260000050863intc:OtherPlatformMember2018-12-302019-12-280000050863intc:IOTGPlatformMember2020-12-272021-12-250000050863intc:IOTGPlatformMember2019-12-292020-12-260000050863intc:IOTGPlatformMember2018-12-302019-12-280000050863intc:PlatformMember2020-12-272021-12-250000050863intc:PlatformMember2019-12-292020-12-260000050863intc:PlatformMember2018-12-302019-12-280000050863intc:OtherProductOrServiceMember2020-12-272021-12-250000050863intc:OtherProductOrServiceMember2019-12-292020-12-260000050863intc:OtherProductOrServiceMember2018-12-302019-12-280000050863us-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMemberintc:ThreeLargestCustomersMember2020-12-272021-12-250000050863us-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMemberintc:ThreeLargestCustomersMember2019-12-292020-12-260000050863us-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMemberintc:ThreeLargestCustomersMember2018-12-302019-12-280000050863intc:DellIncMemberus-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMember2020-12-272021-12-250000050863intc:DellIncMemberus-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMember2019-12-292020-12-260000050863intc:DellIncMemberus-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMember2018-12-302019-12-280000050863us-gaap:SalesRevenueNetMemberintc:LenovoGroupLimitedMemberus-gaap:CustomerConcentrationRiskMember2020-12-272021-12-250000050863us-gaap:SalesRevenueNetMemberintc:LenovoGroupLimitedMemberus-gaap:CustomerConcentrationRiskMember2019-12-292020-12-260000050863us-gaap:SalesRevenueNetMemberintc:LenovoGroupLimitedMemberus-gaap:CustomerConcentrationRiskMember2018-12-302019-12-280000050863intc:HPInc.Memberus-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMember2020-12-272021-12-250000050863intc:HPInc.Memberus-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMember2019-12-292020-12-260000050863intc:HPInc.Memberus-gaap:SalesRevenueNetMemberus-gaap:CustomerConcentrationRiskMember2018-12-302019-12-280000050863us-gaap:AccountsReceivableMemberintc:ThreeLargestCustomersMemberus-gaap:CreditConcentrationRiskMember2019-12-292020-12-260000050863intc:ChinaIncludingHongKongMember2020-12-272021-12-250000050863intc:ChinaIncludingHongKongMember2019-12-292020-12-260000050863intc:ChinaIncludingHongKongMember2018-12-302019-12-280000050863country:SG2020-12-272021-12-250000050863country:SG2019-12-292020-12-260000050863country:SG2018-12-302019-12-280000050863country:US2020-12-272021-12-250000050863country:US2019-12-292020-12-260000050863country:US2018-12-302019-12-280000050863country:TW2020-12-272021-12-250000050863country:TW2019-12-292020-12-260000050863country:TW2018-12-302019-12-280000050863intc:OtherCountriesMember2020-12-272021-12-250000050863intc:OtherCountriesMember2019-12-292020-12-260000050863intc:OtherCountriesMember2018-12-302019-12-280000050863intc:PrepaidSupplyAgreementsMember2020-12-260000050863intc:PrepaidSupplyAgreementsMember2020-12-272021-12-250000050863intc:PrepaidSupplyAgreementsMember2021-12-2500000508632021-03-270000050863intc:PrepaidSupplyAgreementsMember2021-03-270000050863intc:PrepaidSupplyAgreementsMember2020-12-272021-03-270000050863us-gaap:LandAndBuildingMember2021-12-250000050863us-gaap:LandAndBuildingMember2020-12-260000050863us-gaap:MachineryAndEquipmentMember2021-12-250000050863us-gaap:MachineryAndEquipmentMember2020-12-260000050863us-gaap:ConstructionInProgressMember2021-12-250000050863us-gaap:ConstructionInProgressMember2020-12-260000050863srt:MinimumMemberus-gaap:MachineryAndEquipmentMember2020-12-272021-12-250000050863srt:MaximumMemberus-gaap:MachineryAndEquipmentMember2020-12-272021-12-250000050863us-gaap:BuildingMembersrt:MinimumMember2020-12-272021-12-250000050863us-gaap:BuildingMembersrt:MaximumMember2020-12-272021-12-250000050863country:US2021-12-250000050863country:US2020-12-260000050863country:IL2021-12-250000050863country:IL2020-12-260000050863country:IE2021-12-250000050863country:IE2020-12-260000050863intc:OtherCountriesMember2021-12-250000050863intc:OtherCountriesMember2020-12-2600000508632020-12-272021-03-270000050863us-gaap:AllOtherSegmentsMember2021-03-282021-06-260000050863srt:MinimumMember2020-12-272021-12-250000050863us-gaap:ValuationAllowanceOfDeferredTaxAssetsMember2020-12-260000050863us-gaap:ValuationAllowanceOfDeferredTaxAssetsMember2020-12-272021-12-250000050863us-gaap:ValuationAllowanceOfDeferredTaxAssetsMember2021-12-250000050863us-gaap:ValuationAllowanceOfDeferredTaxAssetsMember2019-12-280000050863us-gaap:ValuationAllowanceOfDeferredTaxAssetsMember2019-12-292020-12-260000050863us-gaap:ValuationAllowanceOfDeferredTaxAssetsMember2018-12-290000050863us-gaap:ValuationAllowanceOfDeferredTaxAssetsMember2018-12-302019-12-280000050863us-gaap:DomesticCountryMember2021-12-250000050863us-gaap:ForeignCountryMember2021-12-250000050863us-gaap:DebtSecuritiesMember2020-12-272021-12-250000050863us-gaap:DebtSecuritiesMember2019-12-292020-12-260000050863us-gaap:DebtSecuritiesMember2018-12-302019-12-280000050863us-gaap:AvailableforsaleSecuritiesMember2020-12-272021-12-250000050863us-gaap:CostmethodInvestmentsMember2020-12-272021-12-250000050863us-gaap:CostmethodInvestmentsMember2019-12-292020-12-260000050863us-gaap:CostmethodInvestmentsMember2018-12-302019-12-280000050863intc:McAfeeMember2021-12-250000050863intc:McAfeeMember2020-12-272021-12-250000050863intc:McAfeeMemberintc:McAfeeEnterpriseBusinessMember2020-12-272021-12-250000050863intc:McAfeeMember2019-12-292020-12-260000050863intc:McAfeeMember2018-12-302019-12-280000050863intc:BejingUnisocTechnologyLtdMember2020-12-272021-03-270000050863intc:BejingUnisocTechnologyLtdMember2021-12-250000050863intc:BejingUnisocTechnologyLtdMember2020-12-260000050863us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2020-12-272021-12-25intc:Acquisition0000050863us-gaap:SeriesOfIndividuallyImmaterialBusinessAcquisitionsMember2019-12-292020-12-260000050863intc:MoovitMember2020-05-042020-05-040000050863intc:MoovitMember2020-05-040000050863intc:NANDMemoryBusinessMember2020-10-192020-10-190000050863intc:NANDMemoryBusinessMember2021-12-292021-12-290000050863intc:NANDMemoryBusinessMembersrt:ScenarioForecastMember2025-03-012025-03-310000050863intc:NANDMemoryBusinessMembersrt:ScenarioForecastMember2021-12-292021-12-290000050863intc:NANDMemoryBusinessMembersrt:ScenarioForecastMember2021-12-290000050863intc:NANDMemoryBusinessMembersrt:ScenarioForecastMember2022-04-020000050863intc:NANDMemoryBusinessMemberus-gaap:DiscontinuedOperationsHeldforsaleMember2021-12-250000050863intc:NANDMemoryBusinessMemberus-gaap:DiscontinuedOperationsHeldforsaleMember2020-12-260000050863srt:ScenarioForecastMember2020-12-272021-12-2500000508632020-07-312020-07-310000050863intc:SmartphoneModemBusinessMember2019-12-022019-12-020000050863intc:ClientComputingGroupMember2020-12-260000050863intc:ClientComputingGroupMember2021-12-250000050863intc:DataCenterGroupMember2020-12-260000050863intc:DataCenterGroupMember2021-12-250000050863intc:InternetOfThingsGroupMember2020-12-260000050863intc:InternetOfThingsGroupMember2021-12-250000050863intc:MobileyeMember2020-12-260000050863intc:MobileyeMember2021-12-250000050863intc:ProgrammableSolutionsGroupMember2020-12-260000050863intc:ProgrammableSolutionsGroupMember2021-12-250000050863us-gaap:AllOtherSegmentsMember2020-12-260000050863us-gaap:AllOtherSegmentsMember2021-12-250000050863intc:ClientComputingGroupMember2019-12-280000050863intc:DataCenterGroupMember2019-12-280000050863intc:InternetOfThingsGroupMember2019-12-280000050863intc:MobileyeMember2019-12-280000050863intc:ProgrammableSolutionsGroupMember2019-12-280000050863us-gaap:AllOtherSegmentsMember2019-12-280000050863us-gaap:DevelopedTechnologyRightsMember2021-12-250000050863us-gaap:DevelopedTechnologyRightsMember2020-12-260000050863us-gaap:CustomerRelationshipsMember2021-12-250000050863us-gaap:CustomerRelationshipsMember2020-12-260000050863intc:LicensedTechnologyAndPatentsMember2021-12-250000050863intc:LicensedTechnologyAndPatentsMember2020-12-260000050863us-gaap:InProcessResearchAndDevelopmentMember2021-12-250000050863us-gaap:InProcessResearchAndDevelopmentMember2020-12-260000050863us-gaap:OtherIntangibleAssetsMember2021-12-250000050863us-gaap:OtherIntangibleAssetsMember2020-12-260000050863us-gaap:DevelopedTechnologyRightsMember2020-12-272021-12-250000050863us-gaap:DevelopedTechnologyRightsMember2019-12-292020-12-260000050863us-gaap:DevelopedTechnologyRightsMember2018-12-302019-12-280000050863us-gaap:CustomerRelationshipsMember2020-12-272021-12-250000050863us-gaap:CustomerRelationshipsMember2019-12-292020-12-260000050863us-gaap:CustomerRelationshipsMember2018-12-302019-12-280000050863intc:LicensedTechnologyAndPatentsMember2020-12-272021-12-250000050863intc:LicensedTechnologyAndPatentsMember2019-12-292020-12-260000050863intc:LicensedTechnologyAndPatentsMember2018-12-302019-12-280000050863us-gaap:CommercialPaperMember2021-12-250000050863intc:DebtInstrumentFortyMember2021-12-250000050863intc:DebtInstrumentFortyMember2020-12-260000050863intc:DebtInstrumentThirtyOneMember2021-12-250000050863intc:DebtInstrumentThirtyOneMember2020-12-260000050863intc:DebtInstrumentSevenMember2021-12-250000050863intc:DebtInstrumentSevenMember2020-12-260000050863intc:DebtInstrumentThirtyNineMember2021-12-250000050863intc:DebtInstrumentThirtyNineMember2020-12-260000050863intc:DebtInstrumentFifteenMember2021-12-250000050863intc:DebtInstrumentFifteenMember2020-12-260000050863intc:DebtInstrumentTwentyThreeMember2021-12-250000050863intc:DebtInstrumentTwentyThreeMember2020-12-260000050863intc:DebtInstrumentTenMember2021-12-250000050863intc:DebtInstrumentTenMember2020-12-260000050863intc:DebtInstrumentTwentyNineMember2021-12-250000050863intc:DebtInstrumentTwentyNineMember2020-12-260000050863intc:DebtInstrumentFortyOneMember2021-12-250000050863intc:DebtInstrumentFortyOneMember2020-12-260000050863intc:DebtInstrumentFortyFourMember2021-12-250000050863intc:DebtInstrumentFortyFourMember2020-12-260000050863intc:FixedRateSeniorNotes340DueMarch2025Member2021-12-250000050863intc:FixedRateSeniorNotes340DueMarch2025Member2020-12-260000050863intc:DebtInstrumentSixteenMember2021-12-250000050863intc:DebtInstrumentSixteenMember2020-12-260000050863intc:DebtInstrumentThirtyTwoMember2021-12-250000050863intc:DebtInstrumentThirtyTwoMember2020-12-260000050863intc:FixedRateSeniorNotes375DueMarch2027Member2021-12-250000050863intc:FixedRateSeniorNotes375DueMarch2027Member2020-12-260000050863intc:DebtInstrumentFortyTwoMember2021-12-250000050863intc:DebtInstrumentFortyTwoMember2020-12-260000050863intc:FixedRateSeniorNotes160DueAugust2028Member2021-12-250000050863intc:FixedRateSeniorNotes160DueAugust2028Member2020-12-260000050863intc:A2019SeniorNotesDueNovember2029At245Member2021-12-250000050863intc:A2019SeniorNotesDueNovember2029At245Member2020-12-260000050863intc:FixedRateSeniorNotes390DueMarch2030Member2021-12-250000050863intc:FixedRateSeniorNotes390DueMarch2030Member2020-12-260000050863intc:FixedRateSeniorNotes200DueAugust2031Member2021-12-250000050863intc:FixedRateSeniorNotes200DueAugust2031Member2020-12-260000050863intc:DebtInstrumentElevenMember2021-12-250000050863intc:DebtInstrumentElevenMember2020-12-260000050863intc:FixedRateSeniorNotes460DueMarch2040Member2021-12-250000050863intc:FixedRateSeniorNotes460DueMarch2040Member2020-12-260000050863intc:FixedRateSeniorNotes280DueAugust2041Member2021-12-250000050863intc:FixedRateSeniorNotes280DueAugust2041Member2020-12-260000050863intc:DebtInstrumentEightMember2021-12-250000050863intc:DebtInstrumentEightMember2020-12-260000050863intc:DebtInstrumentTwelveMember2021-12-250000050863intc:DebtInstrumentTwelveMember2020-12-260000050863intc:DebtInstrumentSeventeenMember2021-12-250000050863intc:DebtInstrumentSeventeenMember2020-12-260000050863intc:DebtInstrumentThirtyThreeMember2021-12-250000050863intc:DebtInstrumentThirtyThreeMember2020-12-260000050863intc:DebtInstrumentFortyThreeMember2021-12-250000050863intc:DebtInstrumentFortyThreeMember2020-12-260000050863intc:A6404.10SeniorNotesdueAugust2047Member2021-12-250000050863intc:A6404.10SeniorNotesdueAugust2047Member2020-12-260000050863intc:A2017SeniornotesdueDecember2047at3.73Member2021-12-250000050863intc:A2017SeniornotesdueDecember2047at3.73Member2020-12-260000050863intc:A2019SeniorNotesdueDecember2049at3.25Member2021-12-250000050863intc:A2019SeniorNotesdueDecember2049at3.25Member2020-12-260000050863intc:FixedRateSeniorNotes475DueMarch2050Member2021-12-250000050863intc:FixedRateSeniorNotes475DueMarch2050Member2020-12-260000050863intc:FixedRateSeniorNotes305DueAugust2051Member2021-12-250000050863intc:FixedRateSeniorNotes305DueAugust2051Member2020-12-260000050863intc:FixedRateSeniorNotes310DueFebruary2060Member2021-12-250000050863intc:FixedRateSeniorNotes310DueFebruary2060Member2020-12-260000050863intc:FixedRateSeniorNotes495DueMarch2060Member2021-12-250000050863intc:FixedRateSeniorNotes495DueMarch2060Member2020-12-260000050863intc:FixedRateSeniorNotes320DueAugust2061Member2021-12-250000050863intc:FixedRateSeniorNotes320DueAugust2061Member2020-12-260000050863intc:OregonandArizonaBondsMember2021-12-250000050863intc:OregonandArizonaBondsMember2020-12-260000050863intc:StateofOregonBusinessDevelopmentCommissionMember2021-12-250000050863intc:StateofOregonBusinessDevelopmentCommissionMember2020-12-260000050863intc:IndustrialAuthorityoftheCityofChandlerArizonaMember2021-12-250000050863intc:IndustrialAuthorityoftheCityofChandlerArizonaMember2020-12-260000050863us-gaap:FairValueHedgingMemberus-gaap:InterestRateSwapMemberus-gaap:LongTermDebtMember2020-12-272021-12-250000050863us-gaap:FairValueHedgingMemberus-gaap:InterestRateSwapMemberus-gaap:LongTermDebtMember2019-12-292020-12-260000050863us-gaap:CrossCurrencyInterestRateContractMemberintc:A2015AUDdenominatedSeniorNotesMember2021-12-250000050863us-gaap:SeniorNotesMember2021-12-250000050863intc:DebtInstrumentThirtyOneMember2020-12-272021-12-250000050863intc:DebtInstrumentSevenMember2020-12-272021-12-250000050863us-gaap:SeniorNotesMember2020-12-260000050863intc:SeniorNotes185DueMay2020Member2019-12-292020-12-260000050863intc:SeniorNotes185DueMay2020Member2020-12-260000050863intc:SeniorNotes245DueJuly2020Member2019-12-292020-12-260000050863intc:SeniorNotes245DueJuly2020Member2020-12-260000050863intc:FloatingRateSeniorNotesDue2020Member2019-12-292020-12-260000050863us-gaap:RevolvingCreditFacilityMemberus-gaap:LineOfCreditMember2021-12-250000050863intc:DebtInstrumentFourMember2019-12-292020-12-260000050863intc:DebtInstrumentFortyMember2020-12-272021-12-250000050863intc:IndustrialAuthorityoftheCityofChandlerArizona2.70dueDecember2035Member2021-12-250000050863intc:StateofOregonBusinessDevelopmentCommission2.40dueDecember2035Member2021-12-250000050863intc:StateofOregonBusinessDevelopmentCommission5.00dueMarch2049Member2021-12-250000050863intc:IndustrialAuthorityoftheCityofChandlerArizona5.00dueJune2049Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel1Memberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel3Memberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel1Memberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel3Memberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Memberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Memberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Memberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Memberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:FairValueInputsLevel1Memberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:FairValueInputsLevel3Memberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:CashEquivalentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:FairValueInputsLevel1Memberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:FairValueInputsLevel3Memberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:RepurchaseAgreementsMemberus-gaap:CashEquivalentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:CorporateDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FairValueInputsLevel1Memberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FairValueInputsLevel3Memberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FairValueInputsLevel1Memberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberus-gaap:FairValueInputsLevel3Memberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:ShortTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FixedIncomeSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Memberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Memberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Memberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Memberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Memberus-gaap:OtherCurrentAssetsMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentAssetsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Memberus-gaap:OtherCurrentAssetsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentAssetsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Memberus-gaap:OtherCurrentAssetsMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentAssetsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Memberus-gaap:OtherCurrentAssetsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentAssetsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Memberus-gaap:EquitySecuritiesMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:EquitySecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Memberus-gaap:EquitySecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:EquitySecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Memberus-gaap:EquitySecuritiesMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:EquitySecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Memberus-gaap:EquitySecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:EquitySecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:CorporateDebtSecuritiesMemberus-gaap:OtherLongTermInvestmentsMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FixedIncomeSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel1Memberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel3Memberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel1Memberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberus-gaap:FairValueInputsLevel3Memberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherLongTermInvestmentsMemberintc:GovernmentDebtSecuritiesMember2020-12-260000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMember2021-12-250000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueMeasurementsRecurringMember2021-12-250000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMember2020-12-260000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:OtherNoncurrentAssetsMemberus-gaap:FairValueMeasurementsRecurringMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherCurrentLiabilitiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMember2021-12-250000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueInputsLevel1Member2020-12-260000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMember2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMemberus-gaap:FairValueInputsLevel3Member2020-12-260000050863us-gaap:FairValueMeasurementsRecurringMemberus-gaap:OtherNoncurrentLiabilitiesMember2020-12-260000050863us-gaap:FairValueMeasurementsNonrecurringMemberus-gaap:CostmethodInvestmentsMember2020-12-272021-12-250000050863us-gaap:FairValueMeasurementsNonrecurringMemberus-gaap:CostmethodInvestmentsMember2019-12-292020-12-260000050863us-gaap:FairValueMeasurementsNonrecurringMemberus-gaap:CostmethodInvestmentsMember2018-12-302019-12-280000050863us-gaap:FairValueMeasurementsNonrecurringMemberus-gaap:CarryingReportedAmountFairValueDisclosureMember2021-12-250000050863us-gaap:FairValueMeasurementsNonrecurringMemberus-gaap:CarryingReportedAmountFairValueDisclosureMember2020-12-260000050863us-gaap:AccumulatedNetGainLossFromCashFlowHedgesIncludingPortionAttributableToNoncontrollingInterestMember2018-12-290000050863us-gaap:AccumulatedDefinedBenefitPlansAdjustmentNetGainLossIncludingPortionAttributableToNoncontrollingInterestMember2018-12-290000050863us-gaap:AccumulatedTranslationAdjustmentMember2018-12-290000050863us-gaap:AociIncludingPortionAttributableToNoncontrollingInterestMember2018-12-290000050863us-gaap:AccumulatedNetGainLossFromCashFlowHedgesIncludingPortionAttributableToNoncontrollingInterestMember2018-12-302019-12-280000050863us-gaap:AccumulatedDefinedBenefitPlansAdjustmentNetGainLossIncludingPortionAttributableToNoncontrollingInterestMember2018-12-302019-12-280000050863us-gaap:AccumulatedTranslationAdjustmentMember2018-12-302019-12-280000050863us-gaap:AccumulatedNetGainLossFromCashFlowHedgesIncludingPortionAttributableToNoncontrollingInterestMember2019-12-280000050863us-gaap:AccumulatedDefinedBenefitPlansAdjustmentNetGainLossIncludingPortionAttributableToNoncontrollingInterestMember2019-12-280000050863us-gaap:AccumulatedTranslationAdjustmentMember2019-12-280000050863us-gaap:AociIncludingPortionAttributableToNoncontrollingInterestMember2019-12-280000050863us-gaap:AccumulatedNetGainLossFromCashFlowHedgesIncludingPortionAttributableToNoncontrollingInterestMember2019-12-292020-12-260000050863us-gaap:AccumulatedDefinedBenefitPlansAdjustmentNetGainLossIncludingPortionAttributableToNoncontrollingInterestMember2019-12-292020-12-260000050863us-gaap:AccumulatedTranslationAdjustmentMember2019-12-292020-12-260000050863us-gaap:AccumulatedNetGainLossFromCashFlowHedgesIncludingPortionAttributableToNoncontrollingInterestMember2020-12-260000050863us-gaap:AccumulatedDefinedBenefitPlansAdjustmentNetGainLossIncludingPortionAttributableToNoncontrollingInterestMember2020-12-260000050863us-gaap:AccumulatedTranslationAdjustmentMember2020-12-260000050863us-gaap:AociIncludingPortionAttributableToNoncontrollingInterestMember2020-12-260000050863us-gaap:AccumulatedNetGainLossFromCashFlowHedgesIncludingPortionAttributableToNoncontrollingInterestMember2020-12-272021-12-250000050863us-gaap:AccumulatedDefinedBenefitPlansAdjustmentNetGainLossIncludingPortionAttributableToNoncontrollingInterestMember2020-12-272021-12-250000050863us-gaap:AccumulatedTranslationAdjustmentMember2020-12-272021-12-250000050863us-gaap:AccumulatedNetGainLossFromCashFlowHedgesIncludingPortionAttributableToNoncontrollingInterestMember2021-12-250000050863us-gaap:AccumulatedDefinedBenefitPlansAdjustmentNetGainLossIncludingPortionAttributableToNoncontrollingInterestMember2021-12-250000050863us-gaap:AccumulatedTranslationAdjustmentMember2021-12-250000050863us-gaap:AociIncludingPortionAttributableToNoncontrollingInterestMember2021-12-250000050863us-gaap:ForeignExchangeContractMember2021-12-250000050863us-gaap:ForeignExchangeContractMember2020-12-260000050863us-gaap:ForeignExchangeContractMember2019-12-280000050863us-gaap:InterestRateContractMember2021-12-250000050863us-gaap:InterestRateContractMember2020-12-260000050863us-gaap:InterestRateContractMember2019-12-280000050863us-gaap:OtherContractMember2021-12-250000050863us-gaap:OtherContractMember2020-12-260000050863us-gaap:OtherContractMember2019-12-280000050863us-gaap:FairValueHedgingMemberus-gaap:InterestRateSwapMemberus-gaap:LongTermDebtMember2021-12-250000050863us-gaap:FairValueHedgingMemberus-gaap:InterestRateSwapMemberus-gaap:LongTermDebtMember2020-12-260000050863us-gaap:InterestRateSwapMemberus-gaap:FairValueHedgingMember2019-12-280000050863us-gaap:OtherAssetsMemberus-gaap:ForeignExchangeContractMemberus-gaap:DesignatedAsHedgingInstrumentMember2021-12-250000050863us-gaap:ForeignExchangeContractMemberus-gaap:OtherLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMember2021-12-250000050863us-gaap:OtherAssetsMemberus-gaap:ForeignExchangeContractMemberus-gaap:DesignatedAsHedgingInstrumentMember2020-12-260000050863us-gaap:ForeignExchangeContractMemberus-gaap:OtherLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMember2020-12-260000050863us-gaap:OtherAssetsMemberus-gaap:DesignatedAsHedgingInstrumentMemberus-gaap:InterestRateContractMember2021-12-250000050863us-gaap:OtherLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMemberus-gaap:InterestRateContractMember2021-12-250000050863us-gaap:OtherAssetsMemberus-gaap:DesignatedAsHedgingInstrumentMemberus-gaap:InterestRateContractMember2020-12-260000050863us-gaap:OtherLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMemberus-gaap:InterestRateContractMember2020-12-260000050863us-gaap:OtherAssetsMemberus-gaap:DesignatedAsHedgingInstrumentMember2021-12-250000050863us-gaap:OtherLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMember2021-12-250000050863us-gaap:OtherAssetsMemberus-gaap:DesignatedAsHedgingInstrumentMember2020-12-260000050863us-gaap:OtherLiabilitiesMemberus-gaap:DesignatedAsHedgingInstrumentMember2020-12-260000050863us-gaap:OtherAssetsMemberus-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMember2021-12-250000050863us-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMember2021-12-250000050863us-gaap:OtherAssetsMemberus-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMember2020-12-260000050863us-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMember2020-12-260000050863us-gaap:OtherAssetsMemberus-gaap:NondesignatedMemberus-gaap:InterestRateContractMember2021-12-250000050863us-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMemberus-gaap:InterestRateContractMember2021-12-250000050863us-gaap:OtherAssetsMemberus-gaap:NondesignatedMemberus-gaap:InterestRateContractMember2020-12-260000050863us-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMemberus-gaap:InterestRateContractMember2020-12-260000050863us-gaap:OtherAssetsMemberus-gaap:NondesignatedMemberus-gaap:OtherContractMember2021-12-250000050863us-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMemberus-gaap:OtherContractMember2021-12-250000050863us-gaap:OtherAssetsMemberus-gaap:NondesignatedMemberus-gaap:OtherContractMember2020-12-260000050863us-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMemberus-gaap:OtherContractMember2020-12-260000050863us-gaap:OtherAssetsMemberus-gaap:NondesignatedMember2021-12-250000050863us-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMember2021-12-250000050863us-gaap:OtherAssetsMemberus-gaap:NondesignatedMember2020-12-260000050863us-gaap:NondesignatedMemberus-gaap:OtherLiabilitiesMember2020-12-260000050863us-gaap:OtherAssetsMember2021-12-250000050863us-gaap:OtherLiabilitiesMember2021-12-250000050863us-gaap:OtherAssetsMember2020-12-260000050863us-gaap:OtherLiabilitiesMember2020-12-260000050863us-gaap:ForeignExchangeContractMember2020-12-272021-12-250000050863us-gaap:ForeignExchangeContractMember2019-12-292020-12-260000050863us-gaap:ForeignExchangeContractMember2018-12-302019-12-280000050863us-gaap:InterestRateContractMember2020-12-272021-12-250000050863us-gaap:InterestRateContractMember2019-12-292020-12-260000050863us-gaap:InterestRateContractMember2018-12-302019-12-280000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:DesignatedAsHedgingInstrumentMember2020-12-272021-12-250000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:DesignatedAsHedgingInstrumentMember2019-12-292020-12-260000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:DesignatedAsHedgingInstrumentMember2018-12-302019-12-280000050863us-gaap:InterestRateSwapMemberus-gaap:FairValueHedgingMember2020-12-272021-12-250000050863us-gaap:InterestRateSwapMemberus-gaap:FairValueHedgingMember2019-12-292020-12-260000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMember2020-12-272021-12-250000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMember2019-12-292020-12-260000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:ForeignExchangeContractMemberus-gaap:NondesignatedMember2018-12-302019-12-280000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:NondesignatedMemberus-gaap:InterestRateContractMember2020-12-272021-12-250000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:NondesignatedMemberus-gaap:InterestRateContractMember2019-12-292020-12-260000050863us-gaap:OtherNonoperatingIncomeExpenseMemberus-gaap:NondesignatedMemberus-gaap:InterestRateContractMember2018-12-302019-12-280000050863intc:VariousMemberus-gaap:NondesignatedMemberus-gaap:OtherContractMember2020-12-272021-12-250000050863intc:VariousMemberus-gaap:NondesignatedMemberus-gaap:OtherContractMember2019-12-292020-12-260000050863intc:VariousMemberus-gaap:NondesignatedMemberus-gaap:OtherContractMember2018-12-302019-12-280000050863us-gaap:NondesignatedMember2020-12-272021-12-250000050863us-gaap:NondesignatedMember2019-12-292020-12-260000050863us-gaap:NondesignatedMember2018-12-302019-12-280000050863us-gaap:FairValueHedgingMember2020-12-272021-12-250000050863us-gaap:FairValueHedgingMember2019-12-292020-12-260000050863country:US2020-12-272021-12-250000050863country:US2019-12-292020-12-260000050863country:US2018-12-302019-12-280000050863country:USus-gaap:DefinedBenefitPostretirementHealthCoverageMember2021-12-250000050863country:USus-gaap:DefinedBenefitPostretirementHealthCoverageMember2020-12-260000050863country:USus-gaap:DefinedBenefitPostretirementHealthCoverageMemberus-gaap:EquitySecuritiesMember2021-12-250000050863country:USus-gaap:FixedIncomeSecuritiesMemberus-gaap:DefinedBenefitPostretirementHealthCoverageMember2021-12-250000050863us-gaap:DefinedBenefitPostretirementHealthCoverageMember2020-12-272021-12-250000050863intc:OtherlongtermliabilitiesMember2021-12-250000050863intc:OtherlongtermliabilitiesMember2020-12-260000050863country:US2021-12-250000050863us-gaap:ForeignPlanMember2021-12-250000050863country:US2020-12-260000050863us-gaap:ForeignPlanMember2020-12-260000050863country:USus-gaap:PensionPlansDefinedBenefitMember2020-12-272021-12-250000050863country:USus-gaap:PensionPlansDefinedBenefitMember2019-12-292020-12-260000050863country:USus-gaap:PensionPlansDefinedBenefitMember2018-12-302019-12-280000050863us-gaap:EquitySecuritiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:EquitySecuritiesMember2021-12-250000050863us-gaap:EquitySecuritiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:EquitySecuritiesMember2021-12-250000050863us-gaap:EquitySecuritiesMember2020-12-260000050863us-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Memberus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FixedIncomeSecuritiesMemberus-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:FixedIncomeSecuritiesMember2021-12-250000050863us-gaap:FixedIncomeSecuritiesMember2020-12-260000050863us-gaap:FairValueInputsLevel1Member2021-12-250000050863us-gaap:FairValueInputsLevel2Member2021-12-250000050863us-gaap:FairValueInputsLevel3Member2021-12-250000050863us-gaap:PensionPlansDefinedBenefitMember2021-12-250000050863us-gaap:PensionPlansDefinedBenefitMember2020-12-260000050863intc:AssetsmeasuredatnetassetvalueMember2021-12-250000050863intc:AssetsmeasuredatnetassetvalueMember2020-12-260000050863us-gaap:CashAndCashEquivalentsMember2021-12-250000050863us-gaap:CashAndCashEquivalentsMember2020-12-260000050863country:USus-gaap:FixedIncomeSecuritiesMember2021-12-250000050863country:USus-gaap:EquitySecuritiesMember2021-12-250000050863us-gaap:HedgeFundsMemberus-gaap:ForeignPlanMember2021-12-250000050863us-gaap:PensionPlansDefinedBenefitMember2020-12-272021-12-250000050863intc:EquityIncentivePlanOneMember2021-12-250000050863intc:MarketBasedRestrictedStockUnitsMembersrt:MinimumMember2021-12-250000050863intc:MarketBasedRestrictedStockUnitsMembersrt:MaximumMember2021-12-250000050863intc:MarketBasedRestrictedStockUnitsMember2021-12-250000050863us-gaap:RestrictedStockUnitsRSUMember2020-12-272021-12-250000050863us-gaap:EmployeeStockOptionMember2020-12-272021-12-250000050863us-gaap:RestrictedStockUnitsRSUMember2019-12-292020-12-260000050863us-gaap:RestrictedStockUnitsRSUMember2018-12-302019-12-280000050863intc:MarketBasedRestrictedStockUnitsMember2020-12-272021-12-250000050863intc:MarketBasedRestrictedStockUnitsMember2019-12-292020-12-260000050863intc:MarketBasedRestrictedStockUnitsMember2018-12-302019-12-280000050863us-gaap:RestrictedStockUnitsRSUMember2020-12-260000050863us-gaap:RestrictedStockUnitsRSUMember2021-12-250000050863intc:StockPurchasePlanMember2020-12-272021-12-250000050863intc:StockPurchasePlanMember2021-12-250000050863intc:StockPurchasePlanRIghtsMember2020-12-272021-12-250000050863intc:StockPurchasePlanRIghtsMember2019-12-292020-12-260000050863intc:StockPurchasePlanRIghtsMember2018-12-302019-12-280000050863intc:StockPurchasePlanRIghtsMember2021-12-250000050863us-gaap:OtherNoncurrentAssetsMember2021-12-250000050863us-gaap:AccruedLiabilitiesMember2021-12-250000050863us-gaap:OtherNoncurrentLiabilitiesMember2021-12-250000050863srt:MinimumMember2021-12-250000050863srt:MaximumMember2021-12-250000050863us-gaap:CapitalAdditionsMember2021-12-250000050863us-gaap:CapitalAdditionsMember2020-12-260000050863intc:EcFineMember2009-05-012009-05-31iso4217:EUR
UNITED STATES SECURITIES AND EXCHANGE COMMISSION
Washington, D.C. 20549
FORM 10-K
(Mark One)
| | | | | |
☑ | ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
| For the fiscal year ended December 25, 2021. |
| or |
☐ | TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 |
| For the transition period from to . |
Commission File Number 000-06217
INTEL CORPORATION
(Exact name of registrant as specified in its charter)
| | | | | | | | | | | | | | |
Delaware | | | | 94-1672743 |
(State or other jurisdiction of incorporation or organization) | | (I.R.S. Employer Identification No.) |
| | | | |
2200 Mission College Boulevard, | Santa Clara, | California | | 95054-1549 |
(Address of principal executive offices) | | (Zip Code) |
Registrant’s telephone number, including area code (408) 765-8080
Securities registered pursuant to Section 12(b) of the Act:
| | | | | | | | | | | | | | |
Title of each class | | Trading symbol | | Name of each exchange on which registered |
Common stock, $0.001 par value | | INTC | | Nasdaq Global Select Market |
Securities registered pursuant to Section 12(g) of the Act:
None
Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes ☑ No ☐
Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes ☐ No ☑
Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes ☑ No ☐
Indicate by check mark whether the registrant has submitted electronically every interactive data file required to be submitted pursuant to Rule 405 of Regulation S-T (§ 232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to submit such files). Yes ☑ No ☐
Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, a smaller reporting company, or an emerging growth company. See the definitions of "large accelerated filer," "accelerated filer," "smaller reporting company," and "emerging growth company" in Rule 12b-2 of the Exchange Act.
| | | | | | | | | | | | | | | | | |
Large Accelerated Filer | | Accelerated Filer | | Non-Accelerated Filer | | Smaller Reporting Company | Emerging Growth Company |
☑ | | ☐ | | ☐ | | ☐ | ☐ |
If an emerging growth company, indicate by check mark if the registrant has elected not to use the extended transition period for complying with any new or revised financial accounting standards provided pursuant to Section 13(a) of the Exchange Act. ☐
Indicate by check mark whether the registrant has filed a report on and attestation to its management's assessment of the effectiveness of its internal control over financial reporting under Section 404(b) of the Sarbanes-Oxley Act (15 U.S.C 7262(b)) by the registered public accounting firm that prepared or issued its audit report. ☑
Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Act). Yes ☐ No ☑
Aggregate market value of voting and non-voting common equity held by non-affiliates of the registrant as of June 25, 2021, based upon the closing price of the common stock as reported by the Nasdaq Global Select Market on such date, was $226.8 billion. 4,072 million shares of common stock were outstanding as of January 21, 2022.
DOCUMENTS INCORPORATED BY REFERENCE
Portions of the registrant’s proxy statement related to its 2022 Annual Stockholders' Meeting to be filed subsequently are incorporated by reference into Part III of this Form 10-K. Except as expressly incorporated by reference, the registrant's proxy statement shall not be deemed to be part of this report.
Table of Contents
Organization of Our Form 10-K
The order and presentation of content in our Form 10-K differs from the traditional SEC Form 10-K format. Our format is designed to improve readability and better present how we organize and manage our business. See "Form 10-K Cross-Reference Index" within the Financial Statements and Supplemental Details for a cross-reference index to the traditional SEC Form 10-K format.
We have defined certain terms and abbreviations used throughout our Form 10-K in "Key Terms" within the Financial Statements and Supplemental Details.
The preparation of our Consolidated Financial Statements is in conformity with US GAAP. Our Form 10-K includes key metrics that we use to measure our business, some of which are non-GAAP measures. See "Non-GAAP Financial Measures" within MD&A for an explanation of these measures and why management uses them and believes they provide investors with useful supplemental information.
| | | | | | | | |
Fundamentals of Our Business | | Page |
Introduction to Our Business | | |
A Year in Review | | |
Our Strategy | | |
Our Capital | | |
| | |
Management's Discussion and Analysis | | |
Our Products | | |
How We Organize Our Business | | |
Segment Trends and Results | | |
Consolidated Results of Operations | | |
Liquidity and Capital Resources | | |
| | |
Critical Accounting Estimates | | |
Non-GAAP Financial Measures | | |
| | |
Other Key Information | | |
| | |
Sales and Marketing | | |
| | |
| | |
| | |
Quantitative and Qualitative Disclosures About Market Risk | | |
Risk Factors | | |
Properties | | |
Market for Our Common Stock | | |
Information About Our Executive Officers | | |
Availability of Company Information | | |
Disclosure Pursuant to Section 13(r) of the Securities Exchange Act of 1934 | | |
| | |
Financial Statements and Supplemental Details | | |
Auditor's Reports | | |
Consolidated Financial Statements | | |
Notes to Consolidated Financial Statements | | |
Key Terms | | |
| | |
Controls and Procedures | | |
Exhibits | | |
Form 10-K Cross-Reference Index | | |
Forward-Looking Statements
This Form 10-K contains forward-looking statements that involve a number of risks and uncertainties. Words such as "anticipate," "expect," "intend," "aim," "strive," "objective," "goals," "plans," "ambitions," "opportunity," "outlook," "forecast," "predict," "future," "to be," "pending," "roadmap," "achieve," "grow," "committed," "believe," "seek," "targets," "milestones," "estimated," "continue," "likely," "possible," "may," "might," "potentially," "will," "would," "should," "could," "accelerate," "upcoming," "positioned," "next generation," "progress," "on track," and variations of such words and similar expressions are intended to identify such forward-looking statements. In addition, any statements that refer to Intel’s strategy and the anticipated benefits of our strategy; manufacturing expansion plans; investment plans and impacts of investment plans; business plans; internal and external manufacturing plans, including future internal manufacturing volumes and external foundry usage; future responses to and effects of COVID-19; projections of our future financial performance, including future revenue, gross margins, capital expenditures, and cash flows; future business, social, and environmental performance, goals, measures, and strategies; our anticipated growth, future market share, and trends in our businesses and operations; projected growth and trends in markets relevant to our businesses; future technology trends; plans and goals related to Intel’s foundry business, including with respect to future manufacturing capacity and foundry service offerings, including technology and IP offerings; future products and technology, and the expected regulation, availability, and benefits of such products and technology, including future process nodes and technology, product roadmaps, future product architectures, expectations regarding process performance per watt parity and leadership, and expectations regarding product leadership; projected cost and yield trends; expected timing and impact of acquisitions, divestitures, and other significant transactions, including statements relating to the divestiture of our NAND memory business to SK hynix Inc. (SK hynix) and our expected use of proceeds; the proposed IPO of Mobileye; future cash requirements; availability, uses, sufficiency, and cost of capital of capital resources and sources of funding, including future capital and R&D investments, and expected returns to stockholders such as dividends and share repurchases; expectations regarding government incentives; future production capacity and product supply; anticipated trends and impacts related to industry component, substrate, and foundry capacity shortages and constraints; the future purchase, use, and availability of products, components, and services supplied by third parties, including third-party IP and foundry services; tax- and accounting-related expectations; LIBOR-related expectations; our role in the Rapid Assured Microelectronics Prototypes - Commercial program; expectations regarding our relationships with certain sanctioned parties; uncertain events or assumptions, including statements relating to TAM, market opportunity, or projections of future demand; and other characterizations of future events or circumstances are forward-looking statements. Such statements are based on management's expectations as of the date of this filing, unless an earlier date is specified, and involve many risks and uncertainties that could cause our actual results to differ materially from those expressed or implied in our forward-looking statements. Such risks and uncertainties include those described throughout this report and particularly in "Risk Factors" within Other Key Information. Given these risks and uncertainties, readers are cautioned not to place undue reliance on such forward-looking statements. Readers are urged to carefully review and consider the various disclosures made in this Form 10-K and in other documents we file from time to time with the SEC that disclose risks and uncertainties that may affect our business. Unless specifically indicated otherwise, the forward-looking statements in this Form 10-K do not reflect the potential impact of any divestitures, mergers, acquisitions, or other business combinations that have not been completed as of the date of this filing. In addition, the forward-looking statements in this Form 10-K are made as of the date of this filing, unless an earlier date is specified, including expectations based on third-party information and projections that management believes to be reputable, and Intel does not undertake, and expressly disclaims any duty, to update such statements, whether as a result of new information, new developments, or otherwise, except to the extent that disclosure may be required by law.
Note Regarding Third-Party Information
This Form 10-K includes market data and certain other statistical information and estimates that are based on reports and other publications from industry analysts, market research firms, and other independent sources, as well as management's own good faith estimates and analyses. Intel believes these third-party reports to be reputable, but has not independently verified the underlying data sources, methodologies, or assumptions. The reports and other publications referenced are generally available to the public and were not commissioned by Intel. Information that is based on estimates, forecasts, projections, market research, or similar methodologies is inherently subject to uncertainties, and actual events or circumstances may differ materially from events and circumstances reflected in this information.
Intel, 3D XPoint, Arc, Arria, Barefoot Networks, Barefoot logo, Celeron, Intel Agilex, Intel Atom, Intel Core, eASIC, the Footsie logo, Intel Evo, Intel Inside, the Intel logo, the Intel Inside logo, Intel Optane, Iris, Itanium, Killer, Movidius, Myriad, OpenVINO, OpenVINO logo, Pentium, Quark, Stratix, Thunderbolt and the Thunderbolt logo, Tofino, Intel vPro, and Xeon are trademarks of Intel Corporation or its subsidiaries.
The Bluetooth® word mark and logos are registered trademarks owned by Bluetooth SIG, Inc. and any use of such marks by Intel Corporation is under license.
* Other names and brands may be claimed as the property of others.


| | | | | | | |
Total revenue of $79.0 billion was up year over year, with CCG revenue up 1% and DCG revenue down 1%, both amid the effects of industry-wide supply constraints. We experienced strength in notebook demand and recovery in desktop demand, partially offset by lower notebook ASPs due to strength in the consumer and education market segments. DCG was down on lower ASPs driven by product mix and a competitive environment, partially offset by higher platform1 volume from recovery in the enterprise and government market segment. IOTG and Mobileye both achieved strong results on higher demand amid recovery from the economic impacts of COVID-19. We invested $15.2 billion in R&D, made capital investments of $18.7 billion, and generated $30.0 billion in cash from operations and $11.3 billion of free cash flow. | | | |
| |
| | "We achieved solid results amid a highly constrained industry-wide supply environment while continuing to maintain a strong balance sheet and liquidity position. With our IDM 2.0 strategy, we enter a phase of significant investment, positioning us for product leadership and long-term growth." —David Zinsner, Chief Financial Officer |
| | | | | | | | | | | | | | | | | | | | |
Revenue | | Operating Income | | Diluted EPS | | Cash Flows |
■ GAAP $B ■ Non-GAAP $B | | ■ GAAP $B ■ Non-GAAP $B | | ■ GAAP ■ Non-GAAP | | ■ Operating Cash Flow $B ■ Free Cash Flow2 $B |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | | |
$79.0B | $74.7B | | $19.5B | | $22.2B | | $4.86 | | $5.47 | | $30.0B | | $11.3B |
| | | |
GAAP | non-GAAP2 | | GAAP | | non-GAAP2 | | GAAP | | non-GAAP2 | | GAAP | | non-GAAP2 |
Revenue up 1% from 2020 | Revenue up 2% from 2020 | | Operating income down $4.2B or 18% from 2020; 2021 operating margin at 25% | | Operating income down $2.2B or 9% from 2020; 2021 operating margin at 30% | | Diluted EPS down $0.08 or 2% from 2020 | | Diluted EPS up $0.37 or 7% from 2020 | | Operating cash flow down $5.4B or 15% | | Free cash flow down $9.9B or 47% |
| | | | | | | | | | | | |
Higher revenue in CCG, IOTG, Mobileye, and PSG, partially offset by declines in DCG and NSG. Non-GAAP revenue excludes NSG. | | Higher gross margin from higher platform and adjacent1 revenue and Corporate revenue from a prepaid customer supply agreement, partially offset by a Corporate charge related to VLSI litigation, higher period charges from ramp of process technology, and higher operating expenses on increased R&D investment. Non-GAAP operating income incrementally excludes, amortization of acquisition-related intangibles, restructuring and the charge related to VLSI litigation. | | Lower operating income partially offset by equity investment gains, lower effective tax rate, and lower shares. Non-GAAP results incrementally exclude ongoing mark-to-market adjustments and tax impacts of non-GAAP adjustments. | | Lower operating cash flow driven by a decrease in net working capital contributions and cash paid to settle a prepaid customer supply agreement in Q1 2021, partially offset by a McAfee special dividend received in Q3 2021. Free cash flow decreased due to lower operating cash flow and higher capital expenditures. |
Investing in our IDM 2.0 strategy for the long term
To support our IDM 2.0 strategy, we are making significant capital investments to increase our manufacturing capacity and accelerate our process technology roadmap, as well as increasing our investments in R&D. We believe these investments will position us for accelerating long-term revenue growth. We expect our long-term revenue outlook to accelerate to a 10% to 12% year-over-year growth rate by the end of our five-year horizon as supply normalizes and our investments add capacity and drive leadership products. We expect gross margins to be impacted by our investments in capacity and the acceleration of our process technology, resulting in expected non-GAAP gross margins percentages between 51% and 53%2 over the next several years before moving upward. We also expect our capital expenditures to increase above historical levels for the next several years. We expect our cash from operations to be strong, but our capital investments to pressure our free cash flow in the short term.
1 See "Our Products" within MD&A.
2 See "Non-GAAP Financial Measures" within MD&A.
| | | | | | | | |
| Fundamentals of Our Business | 4 |
New CEO and leadership team changes
Our new CEO Pat Gelsinger joined Intel on February 15, 2021 and made several senior leadership changes throughout the year. We also named our new CFO David Zinsner in January 2022. Mr. Gelsinger returns to Intel, where he previously spent 30 years of his career, learned at the feet of Intel’s founders, and served as our first Chief Technology Officer.
IDM 2.0
On March 23, 2021, we announced our "IDM 2.0" strategy, the next evolution of our IDM model. Our IDM 2.0 strategy combines our internal factory network, strategic use of external foundries, and our new IFS business to position us to drive technology and product leadership. To accelerate this strategy, we announced plans to invest $20 billion to build two new fabs in Arizona, which we broke ground on in September, and we recently announced plans to invest more than $20 billion in the construction of two new leading-edge fabs in Ohio. We also announced approximately $10.5 billion total investment to equip our Rio Rancho, New Mexico and Malaysia sites for advanced packaging manufacturing. In August, the US Department of Defense announced that IFS will lead the first phase of its multi-phase RAMP-C program to facilitate the use of a domestic commercial foundry infrastructure.
Process and packaging technology roadmaps
At the Intel Accelerated event in July 2021, we provided an update on our manufacturing process and packaging technology roadmaps. We introduced future nodes, including Intel 3 and Intel 20A, and discussed future process and packaging technologies, such as our PowerVia, RibbonFET, Foveros Omni, and Foveros Direct technologies. As part of the update, we also introduced a new naming structure for our manufacturing process nodes, which includes the name changes summarized in "Key Terms" within Notes to Consolidated Financial Statements.
| | | | | | | | |
| | |
12th Gen Intel® Core™ processors | | |
We announced the 12th Gen Intel Core processor family (Alder Lake), the first on the Intel 7 process, with real-world performance for enthusiast gamers and professional creators. Alder Lake is the first processor based on our performance hybrid architecture featuring a combination of Performance-cores, the highest performing CPU cores Intel has built, and Efficient-cores designed for scalable multi-threaded workload performance. | |
| | |
Ice Lake Server processors | | |
We launched the 3rd Gen Intel® Xeon® Scalable CPU (Ice Lake), which boasts up to 40 cores and delivers a significant increase in performance, on average, compared to the previous generation. The chips include a set of built-in security features, cryptographic acceleration, and AI. | |
5G network products | | |
We also introduced a broad, data-centric portfolio for 5G network infrastructure, including an SoC for wireless base stations, structured ASICs for 5G network acceleration, and a 5G network-optimized Ethernet NIC. | |
Intel® Arc™ graphics | | |
We revealed the brand for our upcoming consumer high-performance graphics products: Intel Arc. The Arc brand will cover hardware, software, and services, and will span multiple hardware generations, with the first generation discrete GPU (Alchemist) based on the Xe HPG microarchitecture and shipping to OEMs in Q1 2022. | |
First closing of divestiture of NAND memory business
On December 29, 2021, subsequent to our fiscal 2021 year-end, we completed the first closing of the divestiture of our NAND memory business to SK hynix, Inc. (SK hynix). We intend to invest transaction proceeds to deliver leadership products and advance our long-term growth priorities.
| | | | | | | | |
| Fundamentals of Our Business | 5 |
The world is becoming more digital, and computing more pervasive. Semiconductors are the underlying technology powering the digitization of everything, which is being accelerated by four superpowers: ubiquitous compute, cloud-to-edge infrastructure, pervasive connectivity, and AI. Together these superpowers reinforce and amplify one another, and will exponentially increase the world’s need for computing by packing even more processing capability onto ever-smaller microchips. We intend to lead the industry by harnessing these superpowers for our customers’ growth and our own.
We are uniquely positioned with the depth and breadth of our software, silicon and platforms, and packaging and process technology with at-scale manufacturing. With these strengths and the tailwinds of the superpowers, our strategy to win is focused on three key themes: product leadership, open platforms, and manufacturing at scale.
Our Priorities
Lead and democratize compute with Intel x86 and xPU. Our product offerings provide end-to-end solutions, scaling from edge computing to 5G networks, the cloud, and the emerging fields of AI and autonomous driving, to serve an increasingly smart and connected world.
At our core is the x86 computing ecosystem, which supports an extensive and deep universe of software applications, with billions of lines of code written and optimized for x86 CPUs. We continue to advance this ecosystem with x86 microarchitectures focused on performance, which push the limits of low latency and single-threaded application performance, and microarchitectures focused on efficiency, which are designed for computing throughput efficiency to enable scalable multithreaded performance. Our innovative new 12th Gen client processors (Alder Lake) combine both performance cores and efficient cores in a performance hybrid architecture that can direct workloads to the right core depending on whether they require higher performance or power efficiency. We can also combine these architectural advances with our innovations in process and packaging technology, as in our next-generation Intel Xeon data center CPU (Sapphire Rapids), which will utilize performance cores on multiple compute tiles connected through our EMIB packaging technology in a scalable design, rather than being built on a monolithic silicon die.
Beyond the CPU, we are delivering a growing family of xPU products, which encompass client and data center GPUs, IPUs, FPGAs, and other accelerators. The xPU approach recognizes that different workloads benefit from different computing architectures, and our broad portfolio helps meet our customers' increasingly diverse computing needs. As part of our strategy, we seek to develop and offer leading products across each of these architectural categories. Our vision is that our products will help enable a future in which every human can have one petaflop of computing power and one petabyte of data less than one millisecond away.
| | | | | | | | |
| Fundamentals of Our Business | 6 |
We aim to deliver open software and hardware platforms with industry-defining standards. Around the globe, companies are building their networks, systems, and solutions on open standards-based platforms. Intel has helped set the stage for this movement, with our historic contributions in developing standards such as CXL, ThunderboltTM, and PCle. We also contributed to the design, build, and validation of new open-source products in the industry such as Linux, Android, and others. The world's developers constantly innovate and expand the capabilities of these open platforms while increasing their stability, reliability, and security. In addition, microservices have enabled the development of flexible, loosely coupled services that are connected via APIs to create end-to-end processes. We use industry collaboration, co-engineering, and open-source contributions to accelerate software innovation. Through our oneAPI initiative, developers use a unified language across CPUs, GPUs, and FPGAs to cut down on development time and to enhance productivity. We also deliver a steady stream of open-source code and optimizations for projects across virtually every platform and usage model. We are committed to co-engineering and jointly designing, building, and validating new products with software industry leaders to accelerate mutual technology advancements and help new software and hardware work better together. Our commitment extends to developers through our developer-first approach based on openness, choice, and trust.
In March 2021, we introduced IDM 2.0, the next evolution and expansion of our IDM model. IDM 2.0 is a differentiated strategy that combines three capabilities:
Internal factory network. Our global, internal factory network has been foundational to our success, enabling product optimization, improved economics, and supply resilience. We intend to remain a leading developer of process technology and a major manufacturer of semiconductors and will continue to build the majority of our products in our factories.
Strategic use of foundry capacity. We expect to expand our use of third-party foundry manufacturing capacity, which will provide us with increased flexibility and scale to optimize our product roadmaps for cost, performance, schedule, and supply. Our use of foundry capacity will include manufacturing for a range of modular tiles on advanced process technologies.
Foundry services. We intend to build a world-class foundry business to meet the growing global demand for semiconductors. We plan to differentiate our foundry offerings from those of others through a combination of leading-edge packaging and process technology, committed capacity in the US and Europe available for customers globally, and a world-class IP portfolio that will include x86 cores, as well as other ecosystem IP.
We believe our IDM 2.0 strategy will enable us to deliver leading process technology and products to meet growing demand, while providing superior capacity and supply resilience and an advantageous cost structure.
Delivering on our IDM 2.0 strategy and growth ambitions requires attracting, developing, and retaining top talent from across the world.
Fostering a culture of empowerment, inclusion, and accountability is also core to our strategy. We are committed to creating an inclusive workplace where the world’s best engineers and technologists can fulfill their dreams and create technology that improves the life of every person on the planet.
Growth Imperative
We are investing to position the company for accelerated long-term growth, focusing on both our core businesses and our growth businesses. In our client and server businesses, our strategy is to invest to strengthen the competitiveness of our product roadmap and to explore opportunities in both client and data center adjacencies. We believe we have significant opportunities to grow and gain share in graphics; mobility, including autonomous driving; networking and edge; and foundry services.
Focus on Innovation and Execution
We are focused on executing our product and process roadmap and accelerating our cadence of innovation. We have set a detailed process and packaging technology roadmap and announced key architectural innovations to further our goal of delivering leadership products in every area in which we compete. We are seeking to return our culture to its roots in innovation and execution, drawing on principles established by our former CEO Andy Grove that emphasize discipline and accountability.
| | | | | | | | |
| Fundamentals of Our Business | 7 |
We deploy various forms of capital to execute our strategy in a way that seeks to reflect our corporate values, help our customers succeed, and create value for our stakeholders.
| | | | | | | | | | |
| | | | |
Capital | Strategy | Value |
| | | | |
Financial |
| | | Leverage financial capital to invest in ourselves and drive our IDM 2.0 strategy, supplement and strengthen our capabilities through acquisitions, and provide returns to stockholders. | We strategically invest financial capital to create long-term value and provide returns to our stockholders. |
| | | | |
| | | | |
Intellectual |
| | | Invest significantly in R&D and IP to enable us to deliver on our accelerated process technology roadmap, introduce leading x86 and xPU products, and develop new businesses and capabilities. | We develop IP to enable next-generation products, create synergies across our businesses, expand into new markets, and establish and support our brands. |
| | | | |
| | | | |
Manufacturing |
| | | Aligned with our IDM 2.0 strategy, invest to efficiently build manufacturing capacity to address growing global demand for semiconductors. | Our geographically balanced manufacturing scope and scale enable us to provide our customers and consumers with a broad range of leading-edge products. |
| | | | |
Human |
| | | Continue to build a diverse, inclusive, and safe work environment to attract, develop, and retain top talent needed to build transformative products. | Our talented employees enable the development of solutions and enhance the intellectual and manufacturing capital critical to helping our customers win the technology inflections of the future. |
| | | | |
| | | | |
Social and Relationship |
| | | Build trusted relationships for both Intel and our stakeholders, including employees, suppliers, customers, local communities, and governments. | We collaborate with stakeholders on programs to empower underserved communities through education and technology, and on initiatives to advance accountability and capabilities across our global supply chain, including accountability for the respect of human rights. |
| | | | |
| | | | |
Natural |
| | | Continually strive to reduce our environmental footprint through efficient and responsible use of natural resources and materials used to create our products. | With our proactive efforts, we seek to mitigate climate and water impacts, achieve efficiencies, and lower costs, and position us to respond to the expectations of our stakeholders. |
2030 RISE Strategy and Corporate Responsibility Goals
Our commitment to corporate responsibility and sustainability leadership is deeply integrated throughout our business. We strive to create an inclusive and positive work environment where every employee has a voice and a sense of belonging, and we are proactive in our efforts to reduce our environmental footprint through efficient and responsible use of natural resources and materials.
We continue to raise the bar for ourselves and leverage our leadership position in the global technology ecosystem to make greater strides in corporate responsibility and apply technology to address social and environmental challenges. Through our RISE strategy and 2030 goals, we aim to create a more responsible, inclusive, and sustainable world, enabled through our technology and the expertise and passion of our employees. Our corporate responsibility strategy is designed to increase the scale of our work through collaboration with our stakeholders and other organizations; we know that acting alone, we cannot achieve the broad social impact to which we aspire. More information about our 2030 goals, including progress we have made toward achieving them, is included in our Corporate Responsibility Report1.
1 The contents of our Corporate Responsibility Report are referenced for general information only and are not incorporated by reference in this Form 10-K.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 8 |
Our financial capital allocation strategy focuses on building stockholder value. Our allocation decisions are driven by our priorities to invest in the business, acquire and integrate businesses that complement our strategic objectives, and return cash to stockholders. As we invest in our IDM 2.0 strategy, our allocation priorities will shift more heavily toward investing in the business and away from share repurchases, as we plan our next phase of capacity expansions and the acceleration of our process technology roadmap. We will continue to look for opportunities to further our strategy through acquisitions and intend to maintain our dividend.
| | | |
| Cash from Operating Activities $B |
| | | | | | | | |
| ■ Capital Investment | ■ Free Cash Flow1 |
Our Financial Capital Allocation Decisions Are Driven by Three Priorities
Invest in the Business
Our first allocation priority is to invest in R&D and capital spending to capitalize on the opportunity presented by the world's demand for semiconductors. We expect to increase our R&D investment and our capital investments in support of our IDM 2.0 strategy.
Acquire and Integrate
Our second allocation priority is to invest in and acquire companies that complement our strategic objectives. We look for acquisitions that supplement and strengthen our capital and R&D investments. Our key acquisitions over the last three years include our 2020 acquisition of Moovit to accelerate Mobileye’s mobility-as-a-service offering and our 2019 acquisition of Habana Labs to strengthen and extend the reach of our AI portfolio.
We take action when investments do not strategically align to our key priorities, and subsequent to our fiscal 2021 year-end, we completed the first closing of the divestiture of our NAND memory business. Additionally, in 2020 we completed the divestiture of the majority of Home Gateway Platform, a division of CCG, and in 2019 we divested the majority of our smartphone modem business.
Return Cash to Stockholders
Our third allocation priority is to return cash to stockholders. We achieve this through our dividend and share repurchase programs. We expect our future stock repurchases to be significantly below our levels from the last few years.
| | | | | | | | | |
| R&D and Capital Investments $B | | Cash to Stockholders $B |
| | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | ■ R&D | ■ Logic | ■ Memory2 | | | ■ Buyback | ■ Dividend | | |
| | |
1 See "Non-GAAP Financial Measures" within MD&A.
2 2021 capital investments in Memory are not presented due to the divestiture of the NAND memory business announced in October 2020. 2017-2020 capital investments presented include Memory.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 9 |
Research and Development
R&D investment is critical to enable us to deliver on our accelerated process technology roadmap, introduce leading products, and develop new businesses and capabilities in the future. We seek to protect our R&D efforts through our IP rights and may augment R&D initiatives by acquiring or investing in companies, entering into R&D agreements, and directly purchasing or licensing technology.
Areas Key to Product Leadership
Every year we make significant investments in R&D and we have intensified our focus on areas key to product leadership. Our objective with each new generation of products is to improve user experiences and value through advances in performance, power, cost, connectivity, security, form factor, and other features. We also focus on reducing our design complexity, re-using IP, and increasing ecosystem collaboration to improve our efficiency.
| | | | | |
| |
| |
Process and packaging. At our Intel Accelerated event in July 2021, we provided an update on our manufacturing process and packaging technology roadmaps. As part of the update, we also introduced a new naming structure for our manufacturing process nodes, which includes the name changes summarized in "Key Terms". In addition, we introduced future nodes and discussed future process and packaging technologies on our roadmap. Our updates included the following: |
▪We introduced further optimizations to our Intel 7 process node, which is now in production for our 12th Gen Intel Core (Alder Lake) processors. ▪Intel 4 will make use of EUV to print incredibly small features using ultra-short wavelength light. Intel 4 will be used for our future Meteor Lake client processors. ▪Intel 3 will leverage further FinFET optimizations and increased EUV to deliver additional performance-per-watt and area improvements over Intel 4. ▪Intel 20A will follow Intel 3 and will introduce two breakthrough technologies: Ribbon FET and PowerVia. RibbonFET, Intel’s implementation of a gate-all-around transistor, will be our first new transistor architecture since we pioneered FinFET in 2011. The technology is expected to deliver faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia will be our unique industry-first implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer. ▪Beyond Intel 20A, we are developing our Intel 18A node, with expected refinements to RibbonFET to deliver additional transistor performance improvements. We are also working to define, build, and deploy next-generation High Numerical Aperture EUV in our process technology roadmap. ▪Our future Foveros Omni advanced packaging technology will usher in the next generation of our 3D stacking Foveros technology, enabling us to mix multiple top die tiles with multiple base tiles across mixed fab nodes and giving us greater flexibility for disaggregated chip designs. With our future Foveros Direct technology, we will move to direct copper-to-copper bonding for low-resistance interconnects and blur the boundary between where the wafer ends and the package begins.
|
xPU architecture. The future is a diverse mix of scalar, vector, matrix, and spatial architectures deployed in CPU, GPU, accelerator, and FPGA sockets, enabled by a scalable software stack and integrated into systems by advanced packaging technology. We are building processors that span several major computing architectures, moving toward an era of heterogeneous computing: |
▪CPU. We started shipping our 3rd Gen Xeon Scalable processors (Ice Lake) with the new Sunny Cove core, built-in AI acceleration, cryptographic acceleration, and advanced security capabilities. We also launched our 12th Gen Intel Core processors (Alder Lake), which will scale from thin and light laptops to enthusiast desktop and notebook platforms. They utilize the new breakthrough Performance-core (Golden Cove) and Efficient-core (Gracemont) microarchitectures and work with Intel® Thread Director for scheduling optimization.
▪GPU. We announced Alchemist, our first Intel Arc branded high-performance discrete GPU family of products focused on gaming and content creation, which began shipping to OEMs in Q1 2022. We also powered on Ponte Vecchio, our discrete GPU focused on high-performance computing applications, which delivers leading floating-point operations per second (FLOPS) and compute density to accelerate AI, high-performance computing, and advanced analytics workloads. Ponte Vecchio will be released in 2022 for HPC and AI markets.
▪Interconnect. Mount Evans, Intel’s first ASIC IPU, is designed to address the complexity of diverse and dispersed data centers. An IPU is designed to enable cloud and communication service providers to reduce overhead and free up performance for CPUs.
▪Matrix Accelerator. Habana Gaudi accelerators are at the forefront of AI solutions for data centers. Amazon Web Services launched the EC2 DL1 instance featuring Habana Gaudi in Amazon Elastic Compute Cloud for training deep learning models.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 10 |
Software. Software unleashes the potential of our hardware platforms across all workloads, domains, and architectures.
▪In 2021, oneAPI adoption expanded across the industry. oneAPI enables developers to build cross-architecture applications using a single code base across xPUs that take advantage of unique hardware features and lower software development and maintenance costs. Developers can choose the best architecture for the problem at hand without rewriting their entire code base, accelerating their time to value.
▪We seek to accelerate adoption of oneAPI and Intel software developer tools through diverse ecosystem activities including developer trainings, summits, centers of excellence, and access to Intel hardware and software through a developer cloud. The Intel® DevCloud for oneAPI hosts global users spanning AI, data science, high-performance computing, and media & graphics and other accelerated computing workloads.
▪We believe AI will be ubiquitous, and with our tools and the broad open software ecosystem, we are well-positioned to scale AI. We optimize for the most widely used AI frameworks and libraries, including TensorFlow, Pytorch, Scikit-learn, NumPy, XGBoost, and Spark, with certain optimizations delivering up to 10 to 100 times performance improvements to support end-to-end AI, as well as OpenVINOTM and oneAPI AI Analytics toolkits.
▪We seek to continually improve our BIOS and firmware in support of our client, data center, networking, and graphics products, including delivering simplified and cloud-optimized open firmware for data center customers through our Firmware Support Package and Minimum Platform Architecture.
IP Rights
We own and develop significant IP and related IP rights around the world that support our products, services, R&D, and other activities and assets. Our IP portfolio includes patents, copyrights, trade secrets, trademarks, mask works, and other rights. We actively seek to protect our global IP rights and to deter unauthorized use of our IP and other assets.
We have obtained patents in the US and other countries. Because of the fast pace of innovation and product development, our products are often obsolete before the patents related to them expire, and in some cases may be obsolete before the patents are granted. As we expand our product offerings into new areas, we also seek to extend our patent development efforts to patent such products. In addition to developing patents based on our own R&D efforts, we may purchase or license patents from third parties.
The software that we distribute, including software embedded in our products, is entitled to copyright and other IP protection. To distinguish our products from our competitors' products, we have obtained trademarks and trade names for our products, and we maintain cooperative advertising programs with customers to promote our brands and to identify products containing genuine Intel components. We also protect details about our processes, products, and strategies as trade secrets, keeping confidential the information that we believe provides us with a competitive advantage.
Efforts to protect our IP can be difficult, particularly in countries that provide less protection to IP rights and in the absence of harmonized international IP standards. Competitors and others may already have IP rights covering similar products. There is no assurance that we will be able to obtain IP rights covering our own products, or that we will be able to obtain IP licenses from other companies on favorable terms or at all. For a discussion of IP-related risks, see "Risk Factors" within Other Key Information. While our IP rights are important to our success, our business as a whole is not significantly dependent on any single patent, copyright, or other IP right.
| | | | | | | | |
| "Here at Intel, we take pride in attracting some of the world’s best engineers, technologists, and innovators. We are advocates for a patent system that eliminates abuse by hedge funds and others who exploit weaknesses in the system to drive their profits at the expense of those of us who actually invent, create, and produce products that are central to the modern economy."
—Steve Rodgers, Executive Vice President and General Counsel |
Inspired by Moore's Law, a law of economics put forth by our co-founder Gordon Moore more than 50 years ago, we continuously work to advance the design and manufacturing of semiconductors to help address our customers' greatest challenges. This makes possible the innovation of new products with higher performance while balancing power efficiency, cost, and size. We continue to work across our supply chain to minimize disruptions, improve productivity, and increase overall capacity and output to meet customer expectations. In 2021, our factories performed well in a highly dynamic environment, where we adapted to rapid demand shifts and industry component shortages affecting us and our customers.
Our IDM 2.0 strategy allows us to deliver leadership products through the use of internal and external capacity while leveraging our core strengths for growth via providing foundry services to others. IDM 2.0 combines three factors. First, we will continue to build the majority of our products in Intel fabs. Second, we expect our use of third party foundry capacity to grow and to include manufacturing for a range of modular tiles on advanced process technologies. Third, we intend to build a world-class foundry business with IFS, which will combine leading-edge process and packaging technology, committed capacity in the US and Europe, and a world-class IP portfolio for customers, including x86 cores. During the year we began shipping packaging units for our first IFS customer, Amazon Web Services.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 11 |
| | | | | |
| “In alignment with our IDM 2.0 strategy, we are repositioning Intel for growth by increasing our investment in internal manufacturing, expanding our global capacity for supply chain resiliency, and delivering on world class manufacturing execution.”
—Keyvan Esfarjani, Senior Vice President and General Manager of Manufacturing, Supply Chain, and Operations |
|
| | | | | |
| "Process and packaging are at the very heart of Intel’s heritage and are the foundation of everything we build. With the roadmaps we unveiled this year, we plan to accelerate our rate of innovation to reach process performance-per-watt parity by 2024 and leadership by 2025, and to maintain advanced packaging leadership." —Dr. Ann Kelleher, Executive Vice President and General Manager of Technology Development
|
|
Network and Supply Chain
Our global supply chain supports internal partners across architecture, product design, technology development, manufacturing and operations, sales and marketing, and business units, and our supply ecosystem comprises thousands of suppliers globally. Our mission is to enable product and process leadership, industry-leading total cost of ownership, and uninterrupted supply for our customers. In addition to our own manufacturing capacity, we continue to expand our use of third-party foundries.
The majority of our logic wafer manufacturing is conducted in the US. As of our fiscal 2021 year-end, we had ten manufacturing sites — six are wafer fabrication and four are assembly/test facilities. The following map shows these factory sites and the countries where we have a significant R&D and/or sales presence. In response to COVID-19, we maintained operational changes and measures to enable a continued safe environment for our employees and operation of our manufacturing sites.
Our manufacturing facilities are primarily used for silicon wafer manufacturing, assembling, and testing of our platform products. We operate in a network of manufacturing facilities integrated as one factory to provide the most flexible supply capacity, allowing us to better analyze our production costs and adapt to changes in capacity needs. Our new process technologies are transferred identically from a central development fab to each manufacturing facility. After transfer, the network of factories and the development fab collaborate to continue driving operational improvements. This enables fast ramp of the operation, fast learning, and quality control. We are expanding manufacturing capacity across multiple sites, including Arizona, Ireland, Israel, and Oregon. To accelerate our IDM 2.0 strategy, we announced plans to invest $20 billion to build two new fabs in Arizona, which we broke ground on in September, and we recently announced plans to invest more than $20 billion in the construction of two new leading-edge fabs in Ohio, while actively searching for additional manufacturing locations in Europe. Our plans include utilizing a "smart capital" strategy in which we focus first on aggressively building out fab shells, which are the smaller portion of the overall cost of a fab but have the longest lead time, giving us flexibility in how and when we bring additional capacity and tools online. We also announced approximately $10.5 billion total investment to equip our Rio Rancho, New Mexico and Malaysia sites for advanced packaging manufacturing.

Note: The Dalian factory, presented above, was sold subsequent to year-end as part of the first closing of the divestiture of our NAND Memory business. See Note 10 : Acquisitions and Divestitures.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 12 |
Our human capital strategy is grounded in our belief that our people are fundamental to our success. Delivering on our IDM 2.0 strategy and growth ambitions requires attracting, developing, and retaining top talent from across the world. We are committed to creating an inclusive workplace where the world’s best engineers and technologists can fulfill their dreams and create technology that improves the life of every person on the planet. We invest in our highly skilled workforce of 121,100 people through creating practices, programs and benefits that support the evolving world of work and our employees’ needs.
Fostering a culture of empowerment, inclusion, and accountability is also core to our IDM 2.0 strategy. We are focused on reinvigorating our culture to strengthen our execution and accelerate our cadence of innovation. Our values—customer first, fearless innovation, results driven, one Intel, inclusion, quality, and integrity—inspire us and are key to delivering on our purpose. This year, we added a new value—results driven—as we seek to return to our roots of innovation and execution, making data-driven decisions quickly and setting disciplined goals that drive business results. All employees are responsible for upholding these values, the Intel Code of Conduct, and Intel's Global Human Rights Principles, which form the foundation of our policies and practices and ethical business culture.
| | | | | | | | |
| | | |
| | | | |
| | "At Intel we tackle hard problems, think boldly, and create technology that improves the life of every person on the planet. Our culture unleashes the diverse perspectives, experiences, and potential of our employees to drive innovation and business results for Intel and our customers." —Christy Pambianchi, Executive Vice President and Chief People Officer
| | |
Talent Management
The digitization of everything is driving growth and global demand for semiconductors. Combined with the tightening labor market and economic recovery from COVID-19, this has driven a significant increase in competition throughout the industry to attract and retain talent – especially technical talent. In 2021, we intensified our efforts to continue to attract and retain talent, including introducing new employee referral programs, expanding wellness benefits and time off, heightening our focus on revitalizing our culture, and increasing mentoring in our technical community. In 2021, our undesired turnover rate1 was 5.6%, compared to 4.0% in 2020.
We invest significant resources to develop the talent needed to remain at the forefront of innovation and make Intel an employer of choice. We offer extensive training programs and provide rotational assignment opportunities. We evolved our performance management system to support our culture evolution and increase our focus on disciplined goal setting and results. Through our annual Employee Experience Surveys and Manager Development Feedback Surveys, employees can voice their perceptions of the company, their managers, their work experience, and learning and development opportunities.
| | | | | | | |
Inclusion | | | |
Diversity and inclusion are core to Intel's values and instrumental to driving innovation and positioning us for growth. Over the past decade, we have taken actions to integrate diversity and inclusion expectations into our culture, performance and management systems, leadership expectations, and annual bonus metrics. We are proud of what we have accomplished to advance diversity and inclusion, but we recognize we still have work to do, including beyond the walls of Intel. We also recognize the additional challenges that COVID-19 has presented to our employees, including women and individuals with disabilities. Our RISE strategy and 2030 goals set our global ambitions for the rest of the decade, including doubling the number of women in senior leadership; doubling the number of underrepresented minorities in US senior leadership; and embedding inclusive leadership practices across our business. Our goals also include increasing the percentage of employees who self-identify as having a disability to 10%; and exceeding 40% representation of women in technical roles, including engineering positions and other roles with technical job requirements. To drive accountability, we continue to link a portion of our executive and employee compensation to diversity and inclusion metrics. We have committed our scale, expertise, and reach through our comprehensive RISE strategy to work with customers and other stakeholders to accelerate the adoption of inclusive business practices across industries. In 2021, we partnered with other technology companies to launch the Alliance for Global Inclusion to create and implement an Inclusion Index with unified goals and metrics. This collective effort will allow the industry to more clearly identify actions needed to advance progress on closing persistent gaps and advancing more inclusive practices in workplaces, industry, and society. We will also continue to collaborate on initiatives that expand the diverse pipeline of talent for our industry, advance social equity, make technology fully inclusive, and expand digital readiness for millions of people around the world. | | |
| |
| |
| |
| |
| |
1 Undesired turnover includes all regular Intel employees who voluntarily left Intel, but do not include Intel contract employees, interns, or employees who separated from Intel due to divestiture, retirement, voluntary separation packages, death, job elimination, or redeployment.
2 Senior leadership refers to salary grades 10+ and equivalent grades. While we present male and female, we acknowledge this is not fully encompassing of all gender identities.
3 The term underrepresented minority (URM) is used to describe diverse populations, including Black/African American, Hispanic, and Native American employees in the US.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 13 |
Compensation and Benefits
We structure pay, benefits, and services to meet the varying needs of our employees. Our total rewards package includes market-competitive pay, broad-based stock grants and bonuses, an employee stock purchase plan, healthcare and retirement benefits, paid time off and family leave, parent reintegration, fertility assistance, flexible work schedules, sabbaticals, and on-site services. Since 2019, we have achieved gender pay equity globally and we continue to maintain race/ethnicity pay equity in the US. We achieve pay equity by closing the gap in average pay between employees of different genders or race/ethnicity in the same or similar roles after accounting for legitimate business factors that can explain differences, such as location, time at grade level, and tenure. We have also advanced transparency in our pay and representation data by publicly releasing our EEO-1 survey pay data since 2019. We believe that our holistic approach toward pay equity, representation, and creating an inclusive culture enables us to cultivate a workplace that helps employees develop and progress in their careers at all levels. Though flexible work schedules are part of our existing total rewards package, the COVID-19 pandemic provided an opportunity to further reimagine how our employees work and collaborate. In designing the future of our workplace, we surveyed employees around the globe to inform our “hybrid-first” approach, where the majority of our employees will split their time between working remotely and in the office, with no company-wide mandate on the number of days per week employees should be on-site or how they should collaborate. Our goal is to enable remote and on-site work where it drives the best output, while ensuring our employees have equitable access to systems, resources, and opportunities that allow them to succeed.
Health, Safety, and Wellness
Our commitment in Intel's Environmental, Health, and Safety Policy is to provide a safe and injury-free workplace. We continually invest in programs designed to improve physical, mental, and social well-being. We provide access to a variety of innovative, flexible, and convenient health and wellness programs, including on-site health centers. Throughout our response to COVID-19, our priority has remained protecting the health and safety of our employees. This includes mental health, as we aim to increase awareness of and support for mental and behavioral health. In support of our 2030 goals, we will continue to build our strong safety culture and drive global expansion of our corporate wellness program through employee education and engagement activities.
| | | | | |
| Social and Relationship Capital |
We are committed to engaging in corporate responsibility and sustainability initiatives that support our communities and help us develop trusted relationships with our stakeholders. Proactive engagement with our stakeholders and investments in social impact initiatives, including those aligned with the United Nations Sustainable Development Goals, advance our position as a leading corporate citizen and create shared value for Intel, our global supply chain, and our communities.
Economic and social. The health of our business and local economies depends on continued investments in innovation. We provide high-skill, high-paying jobs around the world. Many of these are manufacturing and R&D jobs located in our own domestic and international factories. We also benefit economies through our R&D ecosystem spending, sourcing activities, consumer spending by our employees, and tax payments. We make sizable capital investments and provide leadership in public-private partnerships to spur economic growth and innovation. We engage third-party organizations to conduct analyses of the economic impact of our operations, including a US impact study in 2021 that found that for every US Intel job, Intel's economic activity in the US indirectly supports an additional 13 jobs.
We stand at the forefront of new technologies that are increasingly being used to empower individuals, companies, and governments around the world to solve global challenges. We also aim to empower people through education and advance social initiatives to create career pathways into the technology industry. This has included our global Intel Digital Readiness Programs, such as AI for Youth and AI for Workforce, scaled in partnership with governments and institutions to empower individuals with digital readiness and AI skills. Additionally, we have invested in multi-year partnerships with historically Black colleges and universities in the US to increase the number of Black/African Americans who pursue electrical engineering, computer engineering, and computer science fields. Our employees and retirees share their expertise through volunteer initiatives in the communities where we operate, volunteering more than 1.71 million hours over the past two years. These efforts contribute to the 2030 goal we established last year to volunteer 10 million hours over a decade. COVID-19 presented challenges over the last two years for in-person volunteering, but we continued to see an outpouring of support from employees in 2021 for virtual volunteering, donations, and innovative technology projects to support our communities. In 2020 we announced the Pandemic Response Technology Initiative to combat COVID-19. We expanded the initiative in 2021 and renamed it the RISE Technology Initiative to reflect a broader platform for action. It provides an expanded channel to build deeper relationships with our customers and partners aligned with our corporate purpose and work to create shared value through our 2030 RISE strategy. Specifically, we are funding projects in areas that include using technology to improve health and safety; making technology more inclusive while expanding digital readiness; and carbon neutral computing to help address climate change.
Human rights commitment. We are committed to maintaining and improving processes to avoid complicity in human rights violations related to our operations, supply chain, and products. We have established an integrated approach to managing human rights across our business, including board-level oversight and the involvement of senior-level Management Review Committees. We also meet throughout the year with external stakeholders and experts on human rights to continue to inform and evolve our human rights policies and oversight processes. While we do not always know nor can we control what products our customers create or the applications end users may develop, we do not tolerate our products being used to violate human rights. Where we become aware of a concern that our products are being used by a business partner in connection with abuses of human rights, we restrict or cease business with the third party until we have high confidence that our products are not being used to violate human rights.
1 This is a preliminary estimate. The final number will be reported in our 2021-22 Corporate Responsibility Report, to be issued later in 2022.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 14 |
Supply Chain Responsibility
We actively manage our supply chain to help reduce risk, improve product quality, achieve environmental and social goals, and improve overall performance and value creation for Intel, our customers, and our suppliers. To drive responsible and sustainable practices throughout our supply chain, we have robust programs to educate and engage suppliers that support our global manufacturing operations. We actively collaborate with other companies and lead industry initiatives on key issues such as improving transparency around climate and water impacts in the global electronics supply chain and, as part of our RISE strategy, we are advancing collaboration across our industry on responsible minerals sourcing. Through these efforts we help set electronics industry-wide standards, develop audit processes, and conduct training.
Over the past decade, we have directly engaged with our suppliers to verify compliance and build capacity to address risks of forced and bonded labor and other human rights issues. We perform supplier audits and identify critical direct suppliers to engage through capability-building programs, which help suppliers build sustainability acumen and verify compliance with the Responsible Business Alliance and our Code of Conduct. We also engage with indirect suppliers through our programs on forced and bonded labor, responsible minerals, and supplier diversity. To achieve our 2030 RISE goals, we will significantly expand the number of suppliers covered by our engagement activities.
Our commitment to diversity and inclusion also extends to our suppliers. We believe a diverse supply chain supports greater innovation and value for our business. We have set additional spending targets with women-owned suppliers outside the US and with minority-owned suppliers globally to accelerate progress toward our goal to increase global annual spending with diverse suppliers by 100% to reach $2 billion in annual spending by 2030. Continuing in 2022, we will only retain or use outside law firms in the US that are above average on diversity for their equity partners. We are applying a similar rule to firms used by our tax department, including non-legal firms.
Driving to the lowest possible environmental footprint as we grow helps us create efficiencies, lower costs, and respond to the needs of our stakeholders. We invest in conservation projects and set company-wide environmental targets to drive reductions in greenhouse gas emissions, energy use, water use, and waste generation. We build energy efficiency into our products to help our customers lower their own emissions and energy costs, and we collaborate with policymakers and other stakeholders to use technology to address environmental challenges. Through our 2030 goals we will continue to drive to higher levels of operational efficiency, including a goal of a further 10% reduction in our carbon emissions on an absolute basis even as we continue to grow. In 2021, we continued to take action on emissions reduction strategies focused on emissions abatement, additional investments in renewable electricity, process and equipment optimization, and energy conservation. Our 2030 strategy and goals also focus on improving product energy efficiency and increasing our "handprint"—the ways in which Intel technologies can help others reduce their footprints, including Internet of Things solutions that enable intelligence in machines, buildings, supply chains, and factories, and make electrical grids smarter, safer, and more efficient.
Climate and Energy
We focus on reducing our own climate impact, and over the past two decades have reduced our direct emissions and indirect emissions associated with energy consumption. Through our 2030 goals we have committed to conserve an additional 4 billion kWh of energy over 10 years. We have conserved more than 310 million kWh1 of energy since 2020. We also continue to link a portion of our executive and employee performance bonus to our corporate sustainability metrics. In 2021, this included our target to save 125 million kWh of energy during the year. We also invest in green power and on-site alternative energy projects in support of our 2030 goal to achieve 100% renewable energy use across our global manufacturing operations. We have reached 81%1 renewable energy globally. We are committed to transparency around our carbon footprint and climate risk and use the framework developed by the TCFD to inform our disclosure on climate governance, strategy, risk management, and metrics and targets. For governance and strategy, we follow an integrated approach to address climate change, with multiple teams responsible for managing climate-related activities, initiatives, and policies. Strategies and progress toward goals are reviewed with senior executives and the Intel Board of Directors' Corporate Governance and Nominating Committee. We describe our overall risk management processes in our Proxy Statement, and describe our climate-related risks and opportunities in our annual Corporate Responsibility Report, the Intel Climate Change Policy, and "Risk Factors" within this Form 10-K. In addition to what is included within this Form 10-K, information about and progress toward our 2030 goals is included in our Corporate Responsibility Report. Our Corporate Responsibility Report also includes a mapping of our disclosure to the TCFD, the Sustainability Accounting Standards Board framework, and our CDP Climate Change Survey, all of which are available on our website.2
1 This is a preliminary estimate. The final number will be reported in our 2021-22 Corporate Responsibility Report, to be issued later in 2022.
2 The contents of our website and our Corporate Responsibility Report, Climate Change Policy, and CDP Climate Change Survey are referenced for general information only and are not incorporated by reference in this Form 10-K.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 15 |
Water Stewardship
Water is essential to the semiconductor manufacturing process. We use ultrapure water to remove impurities from our silicon wafers, and we use fresh and reclaimed water to run our manufacturing facility systems. Through our 2030 goals, we have committed to conserve an additional 60 billion gallons in this decade. As part of this commitment, we plan to achieve net positive water use globally. We have conserved 15.4 billion gallons1 of water and enabled restoration of 3.5 billion gallons1 of water since 2020. In 2021, we linked a portion of our executive and employee performance bonus to our targets to conserve 7.5 billion gallons of water in our operations and complete projects to restore more than 1.5 billion gallons to local watersheds.
Circular Economy and Waste Management
We have long been committed to waste management, recycling, and circular economy strategies that enable the recovery and productive re-use of waste streams. Our 2030 goals include a target of zero total waste2 to landfill, as well as implementation of circular economy strategies for 60% of our manufacturing waste streams in partnership with our suppliers. This can include reuse of waste streams directly in our own operations or enabling reuse of our waste streams by other industries. Our 2030 goal of 60% will be challenging, given our projected operational growth and new waste streams, suppliers, and locations that will require new circular economy strategies. We continue to focus on opportunities to upcycle waste by working further on waste segregation practices and collaborating with our suppliers to evaluate new technologies for waste recovery.
'
1 This is a preliminary estimate. The final number will be reported in our 2021-22 Corporate Responsibility Report, to be issued later in 2022.
2 Intel defines zero waste as less than 1%.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 16 |
Value We Create
Each of our six forms of capital plays a critical role in our long-term value creation. We consider numerous indicators in determining the success of our capital deployment in creating value. Highlights of value created are as follows:
1 This is a preliminary estimate. The final number will be reported in our 2021-22 Corporate Responsibility Report, to be issued later in 2022.
2 See "Non-GAAP Financial Measures" within MD&A.
Note: The Dalian factory was sold subsequent to year-end as part of the first closing of the divestiture of our NAND Memory business. See Note 10 : Acquisitions and Divestitures.
| | | | | | | | | | | |
| Fundamentals of Our Business | Our Capital | 17 |
| | | | | |
Management's Discussion and Analysis | |
| |
Our Products
Our product offerings provide end-to-end solutions, scaling from edge computing to 5G networks, the cloud, and the emerging fields of AI and autonomous driving. Products, such as our gaming CPUs, may be sold directly to end consumers, or they may be further integrated by our customers into end products such as notebooks and storage servers. Combining some of these products—for example, integrating FPGAs and memory with Intel Xeon processors in a data center solution—enables incremental synergistic value and performance. We launched new products in 2021, such as the 12th Gen Intel Core processors (Alder Lake), the first on the Intel 7 process, and 3rd Gen Intel Xeon Scalable processors (Ice Lake).

Platform Products: Our platform products can be a CPU and chipset, an SoC, or a multichip package based on Intel® architecture that processes data and controls other devices in a system. The primary CPU products in CCG are our Intel Core and Intel Atom® processors, which include Intel Core processors designed specifically for notebook and desktop applications. We introduced our 12th Gen Intel Core desktop processors and additional 11th Gen Intel Core processors (Tiger Lake) this year. The primary CPU product in DCG is our Intel Xeon processor, which includes solutions for data center compute, networking, and the intelligent edge. Our latest Xeon processor, the 3rd Gen Xeon, launched this year. We sell Xeon, Intel Core, and Intel Atom processor products as part of our IOTG offerings.
Adjacent Products: Our non-platform, or adjacent, products can be combined with platform products to form comprehensive platform solutions to meet customer needs. These products are used in solutions sold through each of our businesses and include the following:
▪Accelerators—Silicon products that can operate alone or accompany our processors in a system, such as Habana Gaudi for DCG, FPGAs for PSG, VPUs for IOTG, and Mobileye EyeQ SoCs
▪Boards and Systems—Server boards and small form factor systems such as Intel® NUCs for CCG
▪Connectivity Products—Ethernet controllers and silicon photonics for DCG; and cellular modems, Wi-Fi, and Bluetooth® for CCG
▪Graphics— Discrete graphics products for CCG and DCG
▪Memory and Storage Products—NAND SSD products for NSG and Intel® OptaneTM memory products sold through DCG
| | | | | | | | | | |
| | |
| | | “At Intel our customer first mindset means that we put customer needs at the center of our business. We are committed to our customers' success by delivering a portfolio of high quality products, performance, and experiences to solve the world’s most challenging problems."
—Michelle Johnston Holthaus, Executive Vice President and General Manager of the Sales, Marketing, and Communications Group |
|
How We Organize Our Business
| | | | | | | | | | | | | | | | | | | | | | | | | |
| | | | | |
| | | | | |
% Intel Revenue | | | Key Markets and Products | |
| | | Includes platforms designed for end-user form factors, focusing on higher growth segments of 2-in-1, thin-and-light, commercial and gaming, and growing adjacencies such as connectivity and graphics. | | |
| | | | | | | | | |
| | | Includes workload-optimized platforms and related products designed for cloud service providers, enterprise and government, and communications service providers market segments. | | | |
| | | | | | | |
| | | Includes high-performance compute solutions for targeted verticals and embedded applications in market segments such as retail, industrial, and healthcare. | | | |
| | | | | | | | | |
| | | Includes comprehensive solutions required for autonomous driving, including compute platforms, computer vision, and machine learning-based sensing, mapping and localization, driving policy, and active sensors in development, utilized for both Robotaxi and consumer level autonomy. | | | |
| | | | | | | | | |
| | | Includes memory and storage products like Intel 3D NAND technology, primarily used in SSDs. | | | |
| | | | | | | | | |
| | | Includes programmable semiconductors, primarily FPGAs and structured ASICs, and related products for communications, cloud and enterprise, and embedded market segments. | | | |

| | | | | | | | |
Overview | |
We are committed to advancing PC experiences by delivering an annual cadence of leadership products and deepening our relationships with industry partners to co-engineer and deliver leading platform innovation. We engage in an intentional effort focused on long-term operating system, system architecture, hardware, and application integration that enables industry-leading PC experiences. We will embrace these opportunities by investing more heavily in the PC, ramping its capabilities even more aggressively, and designing the PC experience even more deliberately. By doing this, we will continue to fuel innovation across Intel, providing a growing source of IP, scale, and cash flow. |
| |
Key Developments |
| |
■ | We delivered our sixth consecutive year of revenue growth, to $40.5 billion, as the PC continues to be more essential than ever. | "The PC is one of the most essential tools of modern times. This makes Intel's role more critical than ever. You can count on us to boldly innovate and deliver industry-leading PC experiences that connect people globally to what matters most to them." —Jim Johnson, Interim General Manager, CCG |
■ | We launched our 11th Gen Intel Core H-series processors and introduced our 12th Gen Intel Core processor family, our all-new performance hybrid architecture built on Intel 7 process technology. |
■ | We launched the world's first Wi-Fi 6E certified product for PCs, enabling Intel Wi-Fi based PCs to access as much as 1200 MHz of new Wi-Fi spectrum – the first new spectrum for Wi-Fi in over a decade. In May, we launched the Intel 5G Solution 5000 modem for PCs, delivering speeds that significantly exceed those of our Intel Gigabit LTE. We also introduced our new high-performance discrete graphics products: Intel® Arc™, with our first generation (Alchemist) GPU shipping to OEMs in Q1 2022. |
■ | We worked with industry partners to co-engineer and deliver more than 100 verified Intel® Evo™ designs and grew the commercial market segment with the launch of our 11th Gen Intel Core vPro platform. |
| | | | | | | | | | | | | | | | | | | | |
| ■ Revenue $B | | ■ Op Income $B | |
Market and Business Overview
Market Trends and Strategy
Since the onset of the COVID-19 pandemic, time spent on PCs has increased dramatically across all major usage categories—as did PCs per household—reinforcing the importance of bringing innovative platforms and form factors to market that unlock real-world experiences. This trend is expected to remain in a post-pandemic world, driving a year over year growth in revenue TAM1. The ecosystem is shipping over one million PC units a day and we believe there is sustained strength in PC demand. In addition, the COVID-19 pandemic has driven significant behavior changes that have positioned the PC as an essential tool in people's lives.
PC density, or PCs per household, is increasing as COVID-19 has irreversibly changed the way we focus, create, connect, and care for each other. In addition, we continue to see an increase in PCs per student. There is a significant opportunity in the commercial segment, driven by refresh of older Windows devices. Currently, there are approximately 140 million devices that are more than four years old2. The experience and capabilities delivered on new PCs are dramatically better today, reinforcing the opportunity to drive a refresh cycle among enterprise customers.
Products and Competition
We operate in a particularly competitive market. In processors, we compete with AMD and vendors who design applications processors based on ARM* architecture, such as Qualcomm Inc. (Qualcomm), and, increasingly, Apple Inc., (Apple) with its most recent launch of M1 Max and M1 Pro. We expect this competitive environment to intensify in 2022.
Our role as a technology leader is more important than ever, and our commitment to creating an open ecosystem is critical to delivering on our ambition. That is why we embrace and collaborate with a vibrant ecosystem of OEM partners to identify innovation vectors. The breadth of a robust ecosystem like Windows/x86 is an incredibly powerful combination, bringing together hundreds of companies and creative and innovative advancements that are not possible for one company alone to deliver.
We launched our 12th Gen Intel Core desktop processors based on our first performance hybrid architecture, which combines two all-new core microarchitectures instead of one and can scale across PC segments and out to the edge. The 12th Gen processor family is set to deliver superior computing performance for every PC segment and out to the edge. In total, we expect to deliver more than 60 processors and 500 desktop and mobile designs from partners across major multinational corporations and leading manufacturers.
Unique to Intel, we innovate beyond the CPU to deliver premium PC experiences with Intel Evo and Intel vPro platforms. More than 100 advanced laptop designs have been built on the Intel Evo platform, which signals they are tested and verified in Intel labs. This ensures they deliver key experience indicators defined by real-world usage models and innovation across areas like responsiveness, battery life, instant wake, and connectivity. Intel vPro is designed for enterprise needs and delivers increased productivity improvements, connectivity, security features, and remote manageability.
We are leading Intel as we embark on our new IDM 2.0 strategy to develop more competitive products and more capabilities for customers. As a result, we are designing our product roadmap to drive product leadership grounded in a philosophy of openness and choice. We deliver value to our customers by leveraging our engineering capabilities and working with our partners across an open, innovative ecosystem to deliver technology that drives every major vector of the computing experience, including performance, battery life, connectivity, graphics, and form factors to create the most advanced PC platforms.
We continue to face industry-wide supply constraints, which are expected to persist into 2022. Given our unique position in the industry, we have taken major actions along the supply chain to eliminate bottlenecks—increasing substrate capacity, removing third-party component bottlenecks, increasing our own internal capacity, and obtaining more external capacity. We are also working with the industry to provide TAM forecasts that help our suppliers better deliver on industry needs.
1 Source: Intel calculated 2022 TAM derived from industry analyst reports.
2 Source: Intel calculated the volume of devices over four years old from industry analyst reports and internal data.
Financial Performance
| | | | | | | | | | | | | | |
| CCG Revenue $B | | CCG Operating Income $B | |
▪Increased unit sales driven by continued strength in notebook demand and recovery in desktop demand driven by consumer and commercial recovery from COVID-19 lows.
▪Lower notebook ASPs due to strength in the consumer and education market segments, partially offset by higher desktop ASPs driven by commercial recovery from COVID-19.
▪Decrease in adjacent revenue primarily driven by the continued ramp down from the exit of our 5G smartphone modem and Home Gateway Platform businesses, partially offset by strength in wireless and connectivity.
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | 2021 vs. 2020 | | 2020 vs. 2019 |
(In Millions) | | % | | $ Impact | | % | | $ Impact |
| | | | | | | | | | |
Desktop platform volume | | up | 8% | | $ | 851 | | | down | (11)% | | $ | (1,316) | |
Desktop platform ASP | | up | 3% | | 292 | | | up | 2% | | 186 | |
Notebook platform volume | | up | 8% | | 2,102 | | | up | 28% | | 5,770 | |
Notebook platform ASP | | down | (6)% | | (1,530) | | | down | (6)% | | (1,646) | |
Adjacent products and other | | | | | (1,261) | | | | | | (83) | |
| | | | | | | | | | |
Total change in revenue | | | | | $ | 454 | | | | | | $ | 2,911 | |
Operating income decreased 3% year over year, and operating margin was 36% in 2021.
| | | | | | | | |
(In Millions) | | |
$ | 14,672 | | | 2021 Operating Income |
(850) | | | Higher operating expenses driven by increased investment in leadership products |
(565) | | | Higher period charges primarily associated with the ramp up of Intel 4 |
(240) | | | Higher period charges primarily associated with the ramp down of 14nm |
(185) | | | Lower adjacent product margin primarily driven by the exit of our 5G smartphone modem business |
(140) | | | Higher period charges driven by less sell-through of reserves on non-qualified platform products in 2021 as compared to in 2020, and other reserves taken in 2021 |
710 | | | Higher gross margin from platform revenue |
655 | | | Lower platform unit cost primarily due to cost improvements in 10nm SuperFin |
165 | | | Lower period charges primarily driven by a decrease in engineering samples |
(7) | | | Other |
$ | 15,129 | | | 2020 Operating Income |
(3,025) | | | Higher platform unit cost primarily from increased mix of 10nm products |
(125) | | | Primarily driven by higher logistic expenses due to COVID-19 |
1,715 | | | Higher gross margin from platform revenue |
640 | | | Lower operating expenses
|
420 | | | Lower period charges due to lower start-up cost associated with 10nm products and sell-through of previously reserved platform products related to our 10nm process technology |
300 | | | Higher CCG adjacent product margin |
2 | | | Other |
$ | 15,202 | | | 2019 Operating Income |

| | | | | | | | |
Overview | |
DCG develops workload-optimized platforms for compute, storage, and network functions. With unmatched scale, hardware and software portfolio breadth, and expansive partner ecosystem support, we are uniquely positioned to enable the world to unleash the potential of data, unlocking value for people, business, and society on a global scale. Market segments include cloud service providers, enterprise and government, and communications service providers. We serve the global appetite for cloud computing and enable digital transformation from edge to cloud. |
| |
Key Developments | "Intel has the breadth and depth of leadership products to solve our customers' most complex problems in a world where the digitization of everything is accelerating the need for high-performance computing." —Sandra Rivera, Executive Vice President and General Manager, Data Center and AI Group |
| |
■ | We introduced multiple products and continued to invest in our leadership roadmap throughout the year. Amid effects of industry component supply constraints and a competitive environment, revenue decreased 1% year over year. |
■ | We launched the 3rd Gen Intel Xeon Scalable processors (Ice Lake), the only x86 data center processors with built-in AI acceleration. We also announced the IPU, a platform that enables superior security capabilities and enables our cloud customers to handle infrastructure tasks more efficiently. |
■ | We expanded our broad, data-centric portfolio for 5G network infrastructure including the 3rd Gen Intel Xeon Scalable processor "N-SKUs", a 5G network-optimized Ethernet NIC, and the Intel Network Platform. We also began sampling the next-generation Intel Xeon D processors, which are built for the edge. |
| |
| | |
| | | | | | | | | | | | | | | | | | | | |
| ■ Revenue $B | | ■ Op Income $B | |
Market and Business Overview
Market Trends and Strategy
Data is a significant force in society and is being generated at an unprecedented pace. In the context of the data center, four superpowers are shaping the future of technology:
▪Ubiquitous Compute: Businesses are demanding compute at the edge to drive insights more quickly from growing amounts of data as everything consumers interact with involves computer technology.
▪Pervasive Connectivity: Increased connectivity is enabling a universal reach with more data movement than ever before, connecting billions of devices and putting more powerful compute resources in the hands of consumers.
▪Cloud to Edge: The proliferation of cloud architectures, which started inside the data center to deliver new levels of efficiency and scale, is now the core of the data infrastructure. The growth and prevalence of the cloud is leading to the democratization of high-performance computing, which opens new frontiers of knowledge in areas like precision medicine and numerical weather prediction. Rapid adoption of 5G is enabling increased bandwidth and fueling continued transformation of the network. The evolution of the networks is creating unlimited scale and giving rise to the intelligent edge.
▪AI: AI is fundamental and becoming pervasive in all applications, creating intelligence everywhere, and enabling powerful new uses of compute across all fields.
Data centers—whether servicing compute, networking, or edge workloads—will go through a massive architectural transformation, leveraging heterogeneous computing with different types of processor architectures optimized for different workloads. With unmatched scale, hardware and software portfolio breadth, and ecosystem support, we are uniquely positioned to unlock the value of data for people, business, and society on a global scale.
The on-premise enterprise market segment revenue grew as customers demonstrated strong recovery from COVID-19. Cloud market segment revenue decreased in 2021 driven by an increasingly competitive environment, and industry component supply constraints. The communications service provider segment continued to see strong growth with the build-out of 5G, and we collaborated with operators on the next wave of virtualization in the radio access network and build-out of the intelligent edge.
Products and Competition
| | | | | | |
We offer customers a broad portfolio of silicon and software designed to provide workload-optimized performance across computing, storage, and networking. As a leading provider of data center platforms, we have competitors such as Advanced Micro Devices, Inc. (AMD), providers of GPU products such as NVIDIA Corporation (NVIDIA), companies using ARM architecture, new entrants developing products customized for specific data center workloads, and internally developed solutions by cloud service providers and others. We expect the competitive environment to continue in 2022.
| | |
In 2021, we launched our 3rd Gen Intel Xeon Scalable processors (Ice Lake), and we shipped 1 million units faster than the previous Xeon generations. All of our OEM partners are currently shipping 3rd Gen Intel Xeon enabled systems and all major cloud service provider customers have deployed services using 3rd Gen Intel Xeon processors. In 2021, we also introduced the Intel Optane Persistent Memory 200 Series and Optane SSD P5800X and began sampling the next generation of Intel Xeon D processors, which are built for the edge.
In 2021, we also announced the IPU, a platform that enables superior security capabilities and lets our cloud customers handle infrastructure tasks more efficiently, enabling the Intel Xeon CPU to focus on the tenant software. Intel announced two types of IPUs, an FPGA-based IPU (Oak Springs Canyon) and an ASIC-based IPU co-developed with Google (Mount Evans).
Financial Performance
| | | | | | | | | | | | | | |
| DCG Revenue $B | | DCG Operating Income $B | |
▪Lower platform ASP driven by product mix and a competitive environment, partially offset by recovery in the enterprise and government market segment, compared to COVID-driven lows in 2020.
▪Higher platform volume driven by recovery in the enterprise and government market segment (up 21% from 2020) and growth in the communications service providers market segment (up 9% from 2020), partially offset by a decline in the cloud service providers market segment (down 19% from 2020). (2020 compared to 2019, the cloud service providers market segment was up 20% and communications service providers market segment up 17%, partially offset by enterprise and government market segment down 8%).
▪Adjacent revenue grew primarily due to the inclusion of the Intel Optane memory business and growth in Ethernet, partially offset by a reduction in the 5G networking volume from elevated levels in 2020.
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
| | 2021 vs. 2020 | | 2020 vs. 2019 |
(In Millions) | | % Growth | | $ Impact | | % Growth | | $ Impact |
| | | | | | | | | | |
Platform ASP | | down | (4)% | | $ | (924) | | | down | (3)% | | $ | (701) | |
Platform volume | | up | 2% | | 571 | | | up | 11% | | 2,316 | |
Adjacent products | | up | 2% | | 71 | | | up | 49% | | 1,007 | |
| | | | | | | | | | |
Total change in revenue | | | | | $ | (282) | | | | | | $ | 2,622 | |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
| | | | | | | | | | |
| | |
Operating income decreased 34% year over year, and operating margin was 27% in 2021.
| | | | | | | | |
(In Millions) | | |
$ | 6,997 | | | 2021 Operating Income |
(1,185) | | | Higher operating expenses driven by investment in leadership products |
(840) | | | Higher platform unit cost primarily from increased mix of 10nm SuperFin products |
(685) | | | Higher period charges primarily associated with ramp up of Intel 4 |
(435) | | | Lower gross margin from platform revenue |
(250) | | | Higher period charges primarily associated with ramp down of 14nm |
(160) | | | Higher period charges driven by increased engineering samples |
(155) | | | Lower adjacent product margin |
145 | | | Lower period charges driven by absence of other reserves taken in 2020, partially offset by reserves recorded in 2021 |
(9) | | | Other |
$ | 10,571 | | | 2020 Operating Income |
1,325 | | | Higher gross margin from platform revenue |
235 | | | Lower period charges due to lower factory start-up costs associated with the initial ramp of 10nm, partially offset by lower platform product reserves |
(425) | | | Higher operating expenses |
(375) | | | Lower DCG adjacent product margin |
(295) | | | Higher platform unit cost |
(125) | | | Primarily driven by higher logistic expenses due to COVID-19 |
4 | | | Other |
$ | 10,227 | | | 2019 Operating Income |
More industries are harnessing the power of data to create business value, innovate, and grow. This requires that intelligence move closer to the edge, allowing data to be acted on where it is created. Working with our partners and developers, we use our architecture, accelerators, and software to develop and scale a growing Internet of Things portfolio and ecosystem. Our Internet of Things portfolio is comprised of our IOTG and Mobileye businesses.
Internet of Things Group
| | | | | | | | |
Overview | |
IOTG develops high-performance compute platforms that solve the technology needs for business use cases that scale across vertical industries and embedded markets. Our customers include retailers, manufacturers, health and life sciences providers, researchers, governments, and education providers. We reduce complexity in the ecosystem with common silicon architectures and software to help enable our customers to create, store, and process data at the edge. |
| |
Key Developments |
| | "The market continues to validate the strategic direction we began several years ago; for operational workloads, compute will move closer to where the data is created and AI inference will be the dominant technology driver."
—Tom Lantzsch, IOTG General Manager |
■ | Revenue was up 33%, driven by increased demand for IOTG platform products due to recovery from the economic impacts of COVID-19 across all key market segments. Most notably, we saw strength in our retail, industrial, and healthcare market segments. |
■ | We announced enhanced product capabilities, which include the 11th Gen Intel Core processors and 3rd Gen Intel Xeon Scalable processors, both bring new AI and operational technology features to customers. These products are a response to needs across the verticals we serve to reduce edge complexity, add capabilities to developers, lower the cost of ownership, and support a range of environmental conditions. |
■ | We continue to update solutions to improve developers' digital strategies and to accelerate market adoption of AI applications at the edge. This includes advancing the OpenVINO toolkit for AI inference model deployment. It is supported by Intel DevCloud for the Edge, which allows users to prototype and experiment with AI workloads on Intel hardware prior to deployment. In addition, the Intel® Edge Software Hub provides access to software packages from Intel and our partners to deliver proven business outcomes. |
■ | We continue to work with our ecosystem partners to expand the portfolio of Intel® MRS and Intel® IoT RFP Ready Kit products—scalable, end-to-end solutions that provide solid business results today and lay the foundation for the future. Currently, IOTG has approved over 600 Intel MRS and Intel IoT RFP Ready Kit offerings, with approximately 50,000 new deployments across 160 countries. |
| | | | | | | | | | | | | | | | | | | | |
| ■ Revenue $B | | ■ Op Income $B | |
Market and Business Overview
Market Trends and Strategy
We are at the center of a global digital transformation. Through our broad portfolio of technology, solutions, and tools, we are transforming the way businesses create products, deliver services, and conduct operations—across schools, hospitals, retailers, governments, utilities, and manufacturers. Driving business benefits requires solving customer challenges in a highly fragmented global market with scalable horizontal technologies. Additionally, it requires building relevant ecosystems and scaling developers specific to diverse verticals. Our vertical market segments include the following:
| | | | | | | | | | | | | | | | | |
| | Retail—Retailers produce mountains of data that can be used to proactively address evolving customer demands and improve operations. We provide solutions that enable retailers to extract the right insights from their data, in the right place, at the right time, allowing them to use intelligence to transform their businesses and to achieve their full potential. The result is greater efficiency, reduced complexity, increased sales, and a more personalized customer experience. |
|
|
| Industrial—We are transforming manufacturing today and expanding what is possible for tomorrow's autonomous operations. We are driving the realization of Industry 4.0 and, together with our ecosystem partners, addressing industry challenges like the convergence of information technology with operational technology, while bringing AI and analytics to operations. This enables customers to make informed decisions that lower maintenance costs, create new service opportunities, and increase productivity.
|
|
|
|
| Healthcare—We are advancing technologies to enable healthcare providers to focus on patients and their care. Technologies like AI, robotics, and 5G are making healthcare and life sciences more connected, personalized, and intelligent. Our technology innovations give researchers powerful tools to make breakthrough discoveries and solve some of the world's largest healthcare and life science challenges in lab and research environments. By working together with solution providers and end users in the healthcare community, we will continue to develop transformative technologies for the future of healthcare and life sciences. |
|
|
|
|
Products and Competition
IOTG utilizes Intel's technology portfolio to provide horizontal platforms while making additional investments needed to adapt products to the specific requirements for our vertical segments. We offer end-to-end solutions with our wide spectrum of products, including Intel Atom, Intel Core, Intel Xeon, VPU accelerators, and developer toolkits such as OpenVINO. IOTG product development focuses on addressing the key challenges businesses face, including interoperability, connectivity, safety, and security, to implement transformative edge solutions. We invest heavily in developing the tools to service operational technology developers and independent software vendors.
We have a long-standing position as a supplier of components and software for embedded products. As businesses continue to create a deluge of data from more and more smart and connected devices across industries, the demand for high-performance computing at the edge has expanded exponentially. The installed base of Intel architecture-based hardware, and applications that run natively on them, helps us to offer compelling solutions in these markets. As this marketplace evolves, we face numerous large and small incumbent processor competitors, as well as new entrants that use the ARM architecture. The solutions require a broad range of connectivity solutions and we face competition from semiconductor companies providing traditional wireless solutions such as cellular, Wi-Fi, and Bluetooth, as well as several new entrants who are taking advantage of new focused communications protocols with the goal of expanding into computational silicon. The market is fragmented and complex, requiring interoperability, standard-based approaches, software, developer tools, and the ecosystem working together to accelerate time to value with commercial solutions at scale.
Mobileye
| | | | | | | | |
Overview | |
Mobileye is a global leader in driving assistance and self-driving solutions. Our product portfolio covers the entire stack required for assisted and autonomous driving, including compute platforms, computer vision and machine learning-based sensing, mapping and localization, driving policy, and active sensors in development. Mobileye's unique assets in ADAS allow for building a scalable self-driving stack that meets the requirements for both Robotaxi and consumer level autonomy. Our customers and strategic partners include major global OEMs, Tier 1 automotive system integrators, and public transportation operators.
|
| |
Key Developments |
| |
■ | We achieved record revenue in 2021 as global vehicle production improved amid recovery from the economic impacts of COVID-19. Our EyeQ SoC volume grew 42% and we expect to see additional growth in the adoption of enhanced ADAS technologies. We have shipped over 100 million chips to date, including 28 million EyeQ SoCs in 2021. | "The future of autonomous driving will be driven by the expansion of Robotaxis, followed by the proliferation of consumer level AVs. While it is too early to determine which realm will dominate, Mobileye is uniquely positioned to become a leader in both spaces."
—Prof. Amnon Shashua, President and Chief Executive Officer, Mobileye |
■ | We secured a record 41 new ADAS design wins, including deals with major OEMs such as Toyota, VW, BMW, Nissan, Honda, and PSA Group. We are currently active in 71 production programs1 across over 30 OEMs. |
■ | We launched our SAE L4 SDS, Mobileye Drive™, and secured multiple collaborations for commercial use, including with Udelv for autonomous cargo delivery, and with Transdev for self-driving mobility services. We also achieved our first consumer L4 design win with Geely. |
| |
| |
■ | We unveiled the Mobileye Robotaxi, a production-grade self-driving electric vehicle, with mobility rider services and MaaS platform, as well as mobility intelligence, tele-operations and data services by Moovit. Through the partnership with SIXT, Robotaxi services will begin in Germany in 2022, along with the already announced Robotaxi services in Tel Aviv. |
| |
■ | In December 2021, we announced our intention to take Mobileye public in the US via an IPO of newly issued Mobileye stock. Intel expects to retain majority ownership of Mobileye following the completion of the IPO. | |
| | | | | | | | | | | | | | | | | |
| ■ Revenue $B | | ■ Op Income $B |
Market and Business Overview
Market Trends and Strategy
While the vehicle industry shows recovery from the COVID-19 pandemic with approximately 2%3 growth year over year, production is still roughly 15% below 2019 levels. We expect ADAS volume to overcome the COVID-19 effects faster than overall global vehicle production, given the significant growth shown in 2021. We anticipate long-term ADAS growth from a strong build-up in L1-L2 ADAS fitment rates, increasing the number of vehicles that will have basic ADAS features from the factory. In addition, we expect increased demand for new generations of cloud-enhanced ADAS as OEMs continue to look to boost current L2 solutions by improving system fidelity, availability, and performance. A crucial building block for L4 autonomy, our REM high-definition maps with constant updates, global coverage, and crowd-based semantics provide a unique value proposition for enhanced L2 systems. We see great traction from leading OEMs (including VW and Ford, as recently announced) as REM-based enhancements can be achieved based on economical configuration.
We believe the future of autonomous driving will unfold in two phases: commercial services like Robotaxi and cargo, followed by series-production passenger car consumer AVs. We expect consumer AVs to materialize only after the Robotaxi industry deploys and matures. The main inhibitors of a mass market product offering of consumer AV are the cost of AV technology, ability to scale at a low cost, regulatory framework, public acceptance, and the ability to scale geographically. Thus, we see the Robotaxi phase as a necessary corridor to consumer AV. Because of our scalable approach, Mobileye is well-positioned to play a significant role in both the Robotaxi market and the future consumer AV market. This is driven by three elements in our strategy: lean compute enabled by the tight co-design of hardware and software, REM crowdsourced maps that provide unparalleled global coverage and constant updates, and development of high-resolution imaging radars to reduce the use of costly LiDAR sensors.
1 This refers to the total number of production programs with active project managers. Intel's definition of program is included in "Key Terms" within the Financial Statements and Supplemental Details.
2 Mobileye was acquired in Q3 2017; 2017 results do not represent the full year.
3 Source: IHS Markit.
In Robotaxi, Mobileye is active via two major business models: First, we are positioning ourselves to be an end-to-end service provider together with Moovit's complementary go-to-market assets and service layers. Second, we are also engaging with various public transportation operators, goods delivery, and mobility providers via a Vehicle-as-a-Service business model in which we provide a fully integrated self-driving platform.
Regulatory approval and framework are a prerequisite for AV proliferation. In 2021, Germany became the first country in the world to allow autonomous vehicles onto public roads without requiring a human backup safety driver behind the wheel. We anticipate one or more additional countries will soon provide similar regulation, enabling regular deployment and operation of MaaS fleets with self-driving vehicles starting in 2022.
Products and Competition
Our offering for ADAS and AV is propelled by our computer vision, AI expertise, and software assets, deployed on our EyeQ SoC family. The tight co-design of hardware and software gives the EyeQ SoC the ability to support complex and computationally intense tasks and sets it apart from competition because it is purpose-fit for high-compute, low-power, automotive-compliant mission profiles. Our 5th Gen EyeQ5 SoC is designed to act as the core building block of central compute for fully autonomous driving vehicles. We have been able to achieve power, performance, and cost targets by employing proprietary computational cores that are optimized for a wide variety of computer vision, signal processing, and machine learning tasks, including deep neural networks. Starting with EyeQ5, we are supporting an automotive-grade standard operating system and providing a complete software development kit to allow customers to differentiate their solutions by deploying their algorithms on EyeQ5. The EyeQ5 SoC is already available for commercial vehicles and is already operational in our autonomous test vehicles.
EyeQ5 serves as the computational foundation for our scalable camera-only surround sensing system. The system consists of multiple independent computer vision engines and deep networks for algorithmic redundancy. The result is a robust and comprehensive model of the environment that allows end-to-end autonomous driving. The surround computer vision system is the backbone of Mobileye's AV architecture and the flagship offering for next-generation ADAS.
We recently introduced EyeQ6L and EyeQ6H, which are designed to provide a scalable solution from entry level ADAS to L2+ and L4 systems. The EyeQ6 platform opens Mobileye to host and process parking and DMS data. EyeQ6L is expected to be deployed in 2023, while EyeQ6H will start production in 2024.
We also introduced the EyeQ® Ultra™, our most advanced, highest performing SoC purpose-built for autonomous driving. EyeQ Ultra maximizes both performance and efficiency at 176 tera operations per second. This efficiently designed SoC builds on six generations of proven EyeQ architecture and four classes of proprietary accelerator cores to deliver the power and performance needed for AVs. The first silicon for the EyeQ Ultra SoC is expected at the end of 2023, with full automotive-grade production in 2025.
The next significant building block in our complete offering is REM mapping technology, which compiles crowdsourced mapping data from EyeQ SoC-equipped vehicles. Together with our OEM partners, we are utilizing our strong presence in ADAS to gain crowd knowledge that is required for building AV maps. After five years of intense development, the REM technology is fully functional for L2/L2+ applications and provides a variety of advanced features, including predictive adaptive cruise control, lane-level localization in all weather and road conditions, hands-free driving application, and real-time alerts. REM also provides intelligent speed adaptation functionality for regulation required by GSR and EUNCAP starting in 2022. REM technology is one of our key differentiators.
The third building block in our full stack offering is our unique formal model for AV safety (RSS). At its core, RSS is a pragmatic method to design and then efficiently validate the safety of an AV, serving as the governing safety layer for the decision-making system. RSS formalizes human decision making for safe driving. It acknowledges the need to balance safety with useful driving by making plausible worst-case scenario assumptions for other road users. By using induction and analytical calculations, the RSS model allows for a lean driving policy with high computational efficiency.
The fourth building block is True Redundancy™, which manifests our approach to AV sensing. True Redundancy combines two independent perception sub-systems—one powered by cameras, and another by radar and LiDAR—and supports full end-to-end autonomous capabilities. Our Level 4 self-driving system, Mobileye Drive, incorporates both systems.
Our last building block is active sensors development. Mobileye and Intel's combined competencies put us in a unique position to advance with the development of a software-defined imaging radar designed to deliver rich point cloud modeling capabilities to enable sensing-state and driving decisions solely on radar. Our imaging radars would replace most of the field of view covered by today's costly LiDARs. LiDAR would be retained only for the front-facing field of view, where it would operate in three-way redundancy with cameras and radar, enabling a major cost reduction for the entire sensor configuration. The proof of concept and modelling using this new radar technology has already been demonstrated. We are also developing a unique Frequency-Modulated Continuous Wave LiDAR designed to provide high point density with relative speed measurement and superior immunity for additional safety in time-critical decisions.
Financial Performance
| | | | | | | | | | | | | | |
| Internet of Things Revenue $B | | Internet of Things Op Income $B | |
2021 vs. 2020
IOTG revenue increased $991 million, primarily driven by $1.1 billion related to higher demand for IOTG platform products amid recovery from the economic impacts of COVID-19, partially offset by $115 million due to lower ASPs.
Mobileye revenue increased $419 million, driven by improvement in global vehicle production, recovery from the economic impacts of COVID-19, and increasing adoption of ADAS compared to 2020.
2020 vs. 2019
IOTG revenue decreased $814 million, or 21%, primarily driven by the economic impacts of COVID-19 with $470 million in lower ASPs driven by weaker core mix and $265 million driven by weaker demand for IOTG platform products. Revenue was also negatively affected by considerations related to the US government Entity List.
Mobileye revenue was $967 million, up $88 million, driven by higher demand from improved global vehicle production in the second half of 2020, offsetting the decline in production experienced in the first half of the year due to the effects of the COVID-19 pandemic.
2021 vs. 2020
IOTG operating income increased $548 million, primarily due to higher platform revenue.
Mobileye operating income increased $219 million, due to higher revenue driven by improvement in global vehicle production, recovery from the economic impacts of COVID-19, and increasing adoption of ADAS compared to 2020.
2020 vs. 2019
IOTG operating income decreased $600 million, primarily due to lower platform revenue.
Mobileye operating income was $241 million, down $4 million, due to higher spending primarily driven by the Moovit acquisition, partially offset by growth in revenue.

| | | | | | | | |
Overview | |
NSG provides next-generation memory and storage products based on innovative Intel 3D NAND technology. NSG is disrupting the memory and storage hierarchy with new tiers that balance capacity, performance, and cost. Our products are available in innovative form factors and densities to address the memory and storage challenges our customers face in a rapidly evolving technological landscape. Our customers include enterprise and cloud-based data centers, and users of business and consumer desktops and laptops. |
| |
Key Developments |
| | "Storage technologies help drive the computing experience. Put simply, in today’s data-driven world, advances in both data center and client computing need to be matched by cutting-edge innovation in the memory-and-storage space."
—Rob Crooke, NSG General Manager |
■ | Revenue was lower in 2021, driven by market softness and pricing pressure. NAND profitability improved due to the absence of depreciation expense from NAND property, plant and equipment that was held for sale throughout 2021. |
■ | We launched the Intel® SSD D5-P5316, our first 144-layer QLC NAND SSD for the Data Center, which is available up to 30.72TB in both the U.2 and efficient E1.L form factors. An upgrade of our SATA drive, the Intel® SSD D3-S4520 and D3-S4620, also launched with Intel’s latest-gen 144-layer TLC NAND and is available in 2.5” and M.2 form factors up to 7.68TB capacity. For our consumer market, the Intel® SSD 670p with 144-layer QLC NAND launched with improved performance, storage responsiveness, and endurance with high capacity (up to 2TB). |
■ | In October 2020, we signed an agreement with SK hynix to divest our NAND memory business. The NAND memory business makes up our NSG segment. The transaction will occur over two closings, the first of which was completed on December 29, 2021, subsequent to our fiscal 2021 year-end. We will fully deconsolidate our ongoing interests in the NAND OpCo Business in the first quarter of 2022. Refer to "Note 10 : Acquisitions and Divestitures" within Notes to Consolidated Financial Statements for further information on the divestiture. |
| | | | | | | | | | | | | | | | | |
| ■ Revenue $B | | ■ Op Income $B | |
Market and Business Overview
Market Trends and Strategy
The combination of ever-exploding growth in data and the desire to analyze data for actionable insights requires our customers to balance performance, real-time access, and cost. Our 3D NAND TLC and QLC technology innovations enable our customers to have access to efficient, cost-effective capacity storage.
In October of 2020, we signed an agreement with SK hynix to divest our NAND memory business, including our NAND memory fabrication facility in Dalian, China and certain related equipment and tangible assets (the Fab Assets), our NAND SSD Business (the NAND SSD Business), and our NAND memory technology and manufacturing business (the NAND OpCo Business). The first closing was completed on December 29, 2021, subsequent to our fiscal 2021 year-end. At first closing, we sold to SK hynix the Fab Assets and the NAND SSD Business. In connection with the first closing, we and certain affiliates of SK hynix also entered into a NAND wafer manufacturing and sale agreement, pursuant to which we will manufacture and sell to SK hynix NAND memory wafers to be manufactured using the Fab Assets in Dalian, China until the second closing.
Products and Competitiveness
We compete against other providers of NAND products. We offer 96-layer and 64-layer TLC NAND high-capacity SSDs, and 144-layer QLC NAND high-capacity SSDs. We focus our efforts primarily on incorporating NAND into solution products.
The acceleration in data growth across our customer base requires significant innovation in storage technology. Our storage roadmap led the way in re-imagining usages and architecting innovative solutions that have disrupted the industry with 96-layer and 144-layer 3D NAND TLC and QLC solutions. We launched four new products with multiple densities to keep up with the evolving business needs of our customers.
Financial Performance
| | | | | | | | | | | | | | |
| NSG Revenue $B | | NSG Operating Income $B | |
2021 vs. 2020
Revenue decreased $1.1 billion, driven by $712 million lower ASPs due to market softness and pricing pressure and $392 million due to the transfer of the Intel Optane memory business to DCG.
2020 vs. 2019
Revenue increased $996 million, driven by $716 million higher ASP from improved NAND pricing and $280 million from improved overall demand.
2021 vs. 2020
NSG had an operating profit of $1.4 billion, up from an operating profit of $361 million in 2020. The operating profit was driven by $1.4 billion of improvements in unit cost, primarily driven by the absence of depreciation expense from NAND property, plant and equipment that was held for sale, $366 million of lower period charges, and $220 million of lower operating expenses, partially offset by $929 million of lower revenue primarily on ASP decline. Operating income also benefited from the transfer of the Intel Optane memory business from 2021 NSG results (a loss of $576 million in 2020).
2020 vs. 2019
NSG had an operating profit of $361 million, up from an operating loss of $1.2 billion in 2019. The operating profit was driven by $716 million higher ASPs from market pricing recovery and $741 million due to continued improvements in unit cost.

| | | | | | | | | |
| Overview | |
| PSG offers programmable semiconductors, primarily FPGAs, structured ASICs, and related products, for a broad range of applications across our embedded, communications, and cloud and enterprise market segments. Our product portfolio delivers FPGA acceleration in tandem with Intel microprocessors, which enables us to combine the benefits of our broad portfolio of technologies to allow more flexibility for systems to operate with increased efficiency and higher performance.
|
| | |
| Key Developments |
| | |
| ■ | Revenue was up 4% year over year, driven by recovery in the embedded and communications market segments from COVID-19 lows. Revenue was limited by ongoing industry component, substrate, and foundry capacity shortages. | "Intel FPGAs and Structured ASICs, unleashed with software, platform and workload innovations, are accelerating a smart and connected world."
—Shannon Poulin, PSG General Manager |
| ■ | We are shipping our Intel® Agilex™ FPGA family, featuring industry-leading FPGA fabric performance, power efficiency, and transceiver performance. We released our Intel® eASIC™ N5X device family (Diamond Mesa) for low-latency 5G network acceleration, cloud acceleration, and storage, AI, and edge applications. |
| ■ | We announced Arrow Creek, an FPGA-based Acceleration Development Platform SmartNIC adapter for high-performance 100G networking acceleration, and RedHat support for our Intel Open FPGA Stack scalable, source-accessible FPGA hardware and software infrastructure. |
| ■ | We announced that Intel® FPGA-based IPU platforms are currently deployed at multiple cloud service providers. We also announced Oak Springs Canyon, an IPU platform built with the Intel® Xeon® D processor and the Intel Agilex FPGA. |
| | |
| | | | | | | | | | | | | | | | | | | | |
| ■ Revenue $B | | ■ Op Income $B | |
Market and Business Overview
Market Trends and Strategy
With the rise of pervasive connectivity and autonomous transactions, vast networks of devices and systems are linked from the edge through infrastructure to the cloud. Our FPGA and structured ASIC technologies enhance Intel's ability to meet the needs of customers in the data center, across the network, and at the edge by extending platform capabilities, intercepting evolving requirements when standards are still changing, and enabling customers to validate next-generation technology proof points early in the market transition. The Intel FPGA portfolio enables this transformation with discrete FPGAs and software-defined, hardware-based, multi-function acceleration cards and IPUs that allow faster development times, high performance, and power efficiency with lower overall total cost of ownership.
We enable a broad range of solutions targeting applications across our embedded, communications, and cloud and enterprise market segments. The configurability and efficiency of FPGAs provide advantages to enable transformative applications such as 5G wireless, network function virtualization acceleration, and edge acceleration for video analytics and Industry 4.0. At the edge, where systems ingest large amounts of data, Intel FPGAs are ideal for pre-processing data to accelerate Intel processors. In the network, where data traffic is increasing and network functions are being virtualized to improve transport efficiency, Intel FPGAs are built to deliver high-bandwidth aggregation and processing. In the cloud, where workloads shift dynamically and algorithms change, Intel FPGAs are the ideal solution for adapting to new demands through reconfigurability and enabling the offload of infrastructure processing tasks from CPUs as part of an IPU platform.
Products and Competition
We deliver solutions in the PLD market, primarily FPGAs and structured ASICs, to accelerate applications that help secure, power, and connect billions of devices and the infrastructure of the smart, connected, data-centric world. We face competition from other programmable logic companies, as well as companies that make other types of semiconductor products, such as ASICs, application-specific standard products, GPUs, digital signal processors, and CPUs. Targeted growth areas for our programmable solutions include 5G, AI, intelligent edge, and cloud applications. The FPGA life cycle generally takes three or more years from the time that a design win is secured before a customer starts volume production and we receive the associated revenue.
| | | | | |
We continue to leverage our heterogeneous architecture on advanced nodes to deliver innovative products at an accelerated pace, allowing the integration of analog, memory, custom computing, custom I/O, and Intel eASIC chiplets into a single package. Our Intel Agilex FPGA family, built on Intel 10nm SuperFin technology, is now shipping. The Agilex family delivers leading performance and power efficiency for diverse workloads. | |
We continue to invest in our Intel eASIC portfolio. Our Intel eASIC N5X, the next-generation Intel eASIC device, is now in production. Structured ASIC products serve as an intermediary technology between FPGAs and standard-cell ASICs that provides lower unit cost and lower power compared to FPGAs, and faster time-to-market and lower non-recurring engineering cost compared to standard-cell ASICs. Intel eASIC products have growth opportunities through adoption in 5G applications and scale across a wide range of markets. |
We continue to execute to our developer-first strategy with oneAPI support for several Intel FPGA families and the Intel® FPGA Programmable Acceleration Card. The oneAPI programming model allows users to save significant development time and enhance productivity while using a single, unified language for CPUs, GPUs, and FPGAs. |
We introduced several new platforms, solutions, and partnerships during the year. We announced Arrow Creek, an FPGA-based Acceleration Development Platform SmartNIC adapter that can flexibly accelerate several infrastructure workloads and enable high-performance 100G connectivity by combining Intel’s Agilex FPGA and the Intel Ethernet 800 Series controller. We introduced RedHat support for Intel Open FPGA Stack, further enabling solution and board providers to build their own differentiated FPGA platforms for servers with Intel Xeon CPUs. We also announced with the US Defense Advanced Research Projects Agency a three-year partnership to advance the development of domestically manufactured structured ASIC platforms. |
Intel FPGAs play a critical role in Intel’s announced IPU vision, enabling cloud and communications service providers to reduce overhead and free up performance for CPUs. Intel FPGA-based IPU platforms are currently deployed at multiple cloud service providers. We also announced Oak Springs Canyon, an IPU reference platform built with our Intel Xeon D processor and our Intel Agilex FPGA. |
Financial Performance
| | | | | | | | | | | | | | |
| PSG Revenue $B | | PSG Operating Income $B | |
2021 vs. 2020
Revenue increased $81 million, driven by recovery in the embedded and communications market segments from COVID-19 lows, partially offset by customer inventory digestion in the cloud market segment.
2020 vs. 2019
Revenue decreased $134 million, driven by a decline in our communications market segment due to customer transition to 5G ASICs that benefited DCG adjacencies, and decline in our embedded market segment. The decline was partially offset by strength in the cloud and enterprise market segment.
2021 vs. 2020
Operating income increased $37 million, driven by higher revenue due to recovery in the embedded and communications market segments from COVID-19 lows, partially offset by a decrease in the cloud market segment.
2020 vs. 2019
Operating income decreased $58 million, driven by lower revenue in our embedded and communications market segments, partially offset by strength in the cloud and enterprise market segment
| | | | | |
Consolidated Results of Operations | |
| |
For additional key highlights of our results of operations, see "A Year in Review."
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | |
Years Ended (In Millions, Except Per Share Amounts) | | December 25, 2021 | | December 26, 2020 | | December 28, 2019 |
| Amount | | % of Net Revenue | | Amount | | % of Net Revenue | | Amount | | % of Net Revenue |
Net revenue | | $ | 79,024 | | | 100.0 | % | | $ | 77,867 | | | 100.0 | % | | $ | 71,965 | | | 100.0 | % |
Cost of sales | | 35,209 | | | 44.6 | % | | 34,255 | | | 44.0 | % | | 29,825 | | | 41.4 | % |
Gross margin | | 43,815 | | | 55.4 | % | | 43,612 | | | 56.0 | % | | 42,140 | | | 58.6 | % |
Research and development | | 15,190 | | | 19.2 | % | | 13,556 | | | 17.4 | % | | 13,362 | | | 18.6 | % |
Marketing, general and administrative | | 6,543 | | | 8.3 | % | | 6,180 | | | 7.9 | % | | 6,350 | | | 8.8 | % |
Restructuring and other charges | | 2,626 | | | 3.3 | % | | 198 | | | 0.3 | % | | 393 | | | 0.5 | % |
| | | | | | | | | | | | |
Operating income | | 19,456 | | | 24.6 | % | | 23,678 | | | 30.4 | % | | 22,035 | | | 30.6 | % |
Gains (losses) on equity investments, net | | 2,729 | | | 3.5 | % | | 1,904 | | | 2.4 | % | | 1,539 | | | 2.1 | % |
Interest and other, net | | (482) | | | (0.6) | % | | (504) | | | (0.6) | % | | 484 | | | 0.7 | % |
Income before taxes | | 21,703 | | | 27.5 | % | | 25,078 | | | 32.2 | % | | 24,058 | | | 33.4 | % |
Provision for taxes | | 1,835 | | | 2.3 | % | | 4,179 | | | 5.4 | % | | 3,010 | | | 4.2 | % |
Net income | | $ | 19,868 | | | 25.1 | % | | $ | 20,899 | | | 26.8 | % | | $ | 21,048 | | | 29.2 | % |
Earnings per share—diluted | | $ | 4.86 | | | | | $ | 4.94 | | | | | $ | 4.71 | | | |
Revenue
Our total revenue grew from $62.8 billion in 2017 to $79.0 billion in 2021, representing 6% CAGR.
2021 vs. 2020
In 2021, revenue was $79.0 billion, up $1.2 billion, or 1%, from 2020. CCG revenue grew 1% due to continued strength in notebook demand and recovery in desktop demand, partially offset by lower notebook ASPs due to strength in the consumer and education market segments. CCG adjacent revenue decreased primarily due to the continued ramp down from the exit of our 5G smartphone modem and Home Gateway Platform businesses. IOTG and Mobileye were both up 33% and 43%, respectively, on higher demand amid recovery from the economic impacts of COVID-19. DCG revenue decreased 1% primarily due to lower ASPs driven by product mix and a competitive environment, partially offset by higher platform volume from recovery in the enterprise and government market segment. NSG revenue decreased primarily driven by lower ASPs due to market softness and pricing pressure. Our "all other" revenue increased primarily due to $584 million from a prepaid customer supply agreement settled in Q1 2021 for which we recognized related revenue for completing performance.
We saw impacts from ongoing industry component, substrate, and foundry silicon shortages across a majority of our businesses and we expect these constraints to continue.
2020 vs. 2019
In 2020, revenue was $77.9 billion, up $5.9 billion, or 8%, from 2019. Our DCG revenue grew 11% due to increased platform volume as cloud service providers increased capacity to serve customer demand. We also saw continued growth in DCG communications service providers, partially offset by enterprise and government decline. We saw growth in DCG adjacencies driven by 5G networking deployment and saw improved NAND pricing and higher demand in NSG, partially offset by weaker core mix and higher demand in IOTG platform products due to COVID-19. Our CCG revenue was up 8% year over year driven by strength in notebook and Wi-Fi sales. That growth was slightly offset by lower desktop volume and lower notebook ASPs resulting from higher demand for consumer and education PCs, and volume decline in LTE modem and connected home following the exit of those businesses.
Gross Margin
We derived a substantial majority of our overall gross margin dollars from the sale of platform products in the CCG and DCG operating segments. Our overall gross margin dollars in 2021 increased by $203 million, or approximately flat compared to 2020, and in 2020 increased by $1.5 billion, or 3%, compared to 2019. Our gross margin percentage was down as the increase in platform revenue was offset by higher period charges and higher unit cost.
| | | |
| Gross Margin $B |
| (Percentages in chart indicate gross margin as a percentage of total revenue) |
| | | | | | | | |
(In Millions) | | |
$ | 43,815 | | | 2021 Gross Margin |
1,010 | | | Higher gross margin from platform revenue |
680 | | | Higher gross margin from adjacent businesses primarily due to the absence of depreciation expense from NAND property, plant and equipment that was held for sale, increased Mobileye volume and higher margins on wireless and connectivity |
585 | | | Prepaid customer supply agreement settled and recognized to revenue in Q1 2021 |
75 | | | Lower period charges driven by a decrease in engineering samples and lower reserves taken on non-qualified platform products compared to 2020, partially offset by 2020 sell-through of other reserves and other reserves taken in 2021 |
(1,325) | | | Higher period charges primarily associated with the ramp up of Intel 4 |
(515) | | | Higher period charges primarily associated with the ramp down of 14nm |
(235) | | | Higher platform unit cost primarily from increased mix of 10nm SuperFin products |
(72) | | | Other |
$ | 43,612 | | | 2020 Gross Margin |
2,360 | | | Higher gross margin from platform revenue |
1,855 | | | Higher gross margin from adjacent businesses primarily due to higher margins on NAND, modem, and WIFI, partially offset by lower margins on DCG adjacencies |
630 | | | Lower factory start-up costs associated with our 10nm process technology |
155 | | | Lower period charges |
(3,285) | | | Higher platform unit cost primarily from increased mix of 10nm products |
(255) | | | Primarily driven by higher logistic expenses due to COVID-19 |
12 | | | Other |
| | |
| | |
| | |
$ | 42,140 | | | 2019 Gross Margin |
Operating Expenses
Total R&D and MG&A expenses for 2021 were $21.7 billion, up 10% compared to 2020. These expenses represented 27.5% of revenue for 2021 and 25.3% of revenue for 2020. We continue to invest in R&D to accelerate our growth.
| | | | | | | | |
Research and Development $B | | Marketing, General and Administrative $B |
(Percentages indicate expenses as a percentage of total revenue) |
| | | | | | | |
2021 vs. 2020 | | |
| | | |
R&D spending increased by $1.6 billion, or 12.1%, driven by the following: | | |
| | | |
+ | Investments in DCG, CCG, and Mobileye | | |
+ | Investments in our process technology | | |
+ | Incentive-based cash compensation | | |
| | | |
| | | |
| | | |
2020 vs. 2019 | | |
| | | |
R&D spending increased by $194 million, or 1%, driven by the following: | | |
| | | |
+ | Investments in our process technology | | |
+ | Investments in CCG and DCG | | |
- | Ramp down of 5G smartphone modem business | | |
- | Incentive-based cash compensation | | |
| | | |
| | |
Marketing, General and Administrative |
| | | | | | | |
2021 vs. 2020 | | |
| | | |
MG&A spending increased by $363 million, or 5.9%, driven by the following: | | |
| | | |
+ | Increase in corporate spending | | |
+ | Incentive-based cash compensation | | |
| | | |
| | | |
| | | |
2020 vs. 2019 | | |
| | | |
MG&A spending decreased by $170 million, or 3%, driven by the following: | | |
| | | |
- | Corporate spending efficiencies | | |
| | | |
- | Incentive-based cash compensation | | |
| | | |
| | | |
| | | |
Restructuring and Other Charges
| | | | | | | | | | | | | | | | |
Years Ended (In Millions) | | Dec 25, 2021 | | Dec 26, 2020 | | |
Employee severance and benefit arrangements | | $ | 48 | | | $ | 124 | | | |
Litigation charges and other | | 2,291 | | | 67 | | | |
Asset impairment charges | | 287 | | | 7 | | | |
Total restructuring and other charges | | $ | 2,626 | | | $ | 198 | | | |
Litigation charges and other includes a charge of $2.2 billion in the first quarter of 2021 related to the VLSI Technology LLC (VLSI) litigation, which is recorded as a corporate charge in the "all other" category presented in "Note 3: Operating Segments" within Notes to Consolidated Financial Statements. Refer to "Note 19: Commitments and Contingencies" within Notes to Consolidated Financial Statements for further information on legal proceedings related to the VLSI litigation.
Asset impairment charges includes impairments related to the shutdown in the second quarter of 2021 of two of our non-strategic businesses, the results of which are included in the "all other" category presented in "Note 3: Operating Segments" within Notes to Consolidated Financial Statements. The goodwill related to these businesses was impaired, resulting in a charge of $238 million recognized in the second quarter of 2021 in the "all other" category along with other impairment charges related to these businesses.
Gains (Losses) on Equity Investments and Interest and Other, Net
| | | | | | | | | | | | | | | | | | | | |
Years Ended (In Millions) | | Dec 25, 2021 | | Dec 26, 2020 | | Dec 28, 2019 |
Ongoing mark-to-market adjustments on marketable equity securities | | $ | (130) | | | $ | (133) | | | $ | 277 | |
Observable price adjustments on non-marketable equity securities | | 750 | | | 176 | | | 293 | |
Impairment charges | | (154) | | | (303) | | | (122) | |
Sale of equity investments and other | | 2,263 | | | 2,164 | | | 1,091 | |
Gains (losses) on equity investments, net | | $ | 2,729 | | | $ | 1,904 | | | $ | 1,539 | |
| | | | | | |
Interest and other, net | | $ | (482) | | | $ | (504) | | | $ | 484 | |
Gains (Losses) on Equity Investments, Net
Ongoing mark-to-market net gains and losses reported during 2021 were primarily driven by Montage Technology, Co. Ltd. (Montage); 2020 and 2019 net gains and losses were primarily driven by Montage and Cloudera. We sold our interest in Cloudera in 2020.
In the first quarter of 2021, we recognized $471 million in observable price adjustments in our investment in Beijing Unisoc Technology Ltd.
In sale of equity investments and other, we recognized $447 million of initial fair value adjustments related to four companies that went public in 2021; in 2020 we recognized $1.1 billion from Montage becoming marketable and $606 million related to four other equity investments that went public. During 2021, we recognized McAfee Corp. (McAfee) dividends of $1.3 billion, which included a special dividend of $1.1 billion paid in connection with the sale of McAfee's Enterprise Business to Symphony Technology Group, and recognized $228 million related to the partial sale of our investment in McAfee. We recognized McAfee dividends of $126 million in 2020 and $632 million in 2019. In November 2021, McAfee announced an agreement to be acquired by an investor group, which is subject to closing conditions.
Interest and Other, Net
The net loss in interest and other, net in 2021 was relatively flat compared to 2020.
We recognized a net loss in interest and other, net in 2020 compared to a net gain in 2019, primarily due to lower divestiture gains in 2020 compared to 2019.
Provision for Taxes
| | | | | | | | | | | | | | | | | | | | |
Years Ended (Dollars in Millions) | | Dec 25, 2021 | | Dec 26, 2020 | | Dec 28, 2019 |
Income before taxes | | $ | 21,703 | | | $ | 25,078 | | | $ | 24,058 | |
Provision for taxes | | $ | 1,835 | | | $ | 4,179 | | | $ | 3,010 | |
Effective tax rate | | 8.5 | % | | 16.7 | % | | 12.5 | % |
Our effective tax rate decreased in 2021 compared to 2020, primarily driven by one-time tax benefits due to the restructuring of certain non-US subsidiaries as well as a higher proportion of our income in non-US jurisdictions. As a result of the restructuring, we established deferred tax assets and released the valuation allowances of certain foreign deferred tax assets. The majority of these deferred tax assets established in 2021 fully offset the deferred tax liabilities recognized in 2020 driven by a change in our permanent reinvestment assertion with respect to undistributed earnings in China, as a result of our planned divestiture of our NAND memory business.
Our effective tax rate increased in 2020 compared to 2019, primarily driven by a change in our permanent reinvestment assertion with respect to undistributed earnings in China, as a result of our planned divestiture of our NAND memory business. It also increased due to the reduction in our foreign derived intangible income benefit in 2020.
Liquidity and Capital Resources
We believe we have sufficient sources of funding to meet our business requirements for the next 12 months and in the longer term. Cash generated by operations, supplemented by our total cash and investments1, is our primary source of liquidity for funding our strategic business requirements. Our short-term requirements include capital expenditures for worldwide manufacturing and assembly and test, including investments in our process technology roadmap; working capital requirements; and potential acquisitions, strategic investments, and dividends. Our long-term requirements incrementally contemplate additional investments in the significant manufacturing expansion plans we announced as part of our IDM 2.0 strategy and additional investments to accelerate our process technology. These plans include investment to build two new fabs in Arizona as well as plans for a next phase of capacity expansions in Ohio, Europe, and other global locations. Our plans include utilizing a "smart capital" strategy in which we focus first on aggressively building out fab shells, which are the smaller portion of the overall cost of a fab but have the longest lead time, giving us flexibility in how and when we bring additional capacity and tools online. Additionally, as we have faced industry shortages of substrates and other components, we have increasingly entered into long-term agreements with suppliers and foundry service providers, some of which involve prepayments that will help us secure future supply.
As we invest in these expansions and in the acceleration of our process technology roadmap, we expect our capital expenditures to increase above historical levels for the next several years. The prepayments for future supply of substrates and other components accelerate cash outflows into the near term, and we expect to apply the prepayments to future purchases, resulting in a positive impact on our liquidity in subsequent periods.
We expect our capital expenditures to increase above historical levels for the next several years. As of December 25, 2021 we had commitments for capital expenditures of $22.3 billion for 2022, and we expect our total capital expenditures for 2022 to be above that amount. We also had $4.6 billion in capital expenditures committed in the long term. As of December 25, 2021, other purchase obligations and commitments in 2022 under our binding commitments for purchases of goods and services were $3.1 billion with an additional $9.3 billion committed in the long term.
We have additional obligations as part of our ordinary course of business, beyond those committed for capital expenditures and other purchase obligations and commitments for purchases of goods and services. For example, see "Note 19: Commitments and Contingencies" within Consolidated Financial Statements for information about our lease obligations, which include supply agreements structured as leases, "Note 8: Income Taxes" within Consolidated Financial Statements for information about our tax obligations related to Tax Reform enacted in 2017 for the one-time transition tax on previously untaxed foreign earnings, and "Note 13: Borrowings" within Consolidated Financial Statements for information about our long-term debt obligations. The expected timing of payments of our obligations is estimated based on current information. Timing of payments and actual amounts paid may be different, depending on the timing of receipt of goods or services, or changes to agreed-upon amounts for some obligations. In addition, some of our purchasing requirements are not current obligations and are therefore not included in the amounts above. For example, some of these requirements are not handled through binding contracts or are fulfilled by vendors on a purchase order basis within short time horizons.
We anticipate that we will continue to primarily rely on operating cash flows, supplemented by our total cash and investments1, to fund IDM 2.0 and other cash requirements in the ordinary course of business. We also expect to benefit from government incentives under pending legislation, and any incentives above our current expectations would enable us to increase the pace and size of our IDM 2.0 investments. Conversely, incentives below our expectations would increase our anticipated cash requirements. We expect our increased capital investments to pressure our free cash flow in the short term. When assessing our current sources of liquidity, we include our total cash and investments1 as shown in the following table:
| | | | | | | | | | | | | | |
(In Millions) | | Dec 25, 2021 | | Dec 26, 2020 |
Cash and cash equivalents | | $ | 4,827 | | | $ | 5,865 | |
Short-term investments | | 2,103 | | | 2,292 | |
Trading assets | | 21,483 | | | 15,738 | |
Other long-term investments | | 840 | | | 2,192 | |
Loans receivable and other | | 240 | | | 947 | |
Total cash and investments1 | | $ | 29,493 | | | $ | 27,034 | |
Total debt | | $ | 38,101 | | | $ | 36,401 | |
| | | | |
| | | | |
1 See "Non-GAAP Financial Measures" within MD&A.
Other potential sources of liquidity include our commercial paper program and our automatic shelf registration statement on file with the SEC, pursuant to which we may offer an unspecified amount of debt, equity, and other securities. Under our commercial paper program, we have an ongoing authorization from our Board of Directors to borrow up to $10.0 billion. During 2021, we issued a total of $5.0 billion aggregate principal amount of senior notes, and entered into a $5.0 billion variable-rate revolving credit facility t